Energy-Delay Space Exploration of Clocked Storage Elements Using Circuit Sizing

Size: px
Start display at page:

Download "Energy-Delay Space Exploration of Clocked Storage Elements Using Circuit Sizing"

Transcription

1 Energy-elay Space Exploraton of locked Storage Elements Usng rcut Szng Mustafa Aktan Svakumar Paramesvaran Joosk Moon Vojn Oklobdzja Electrcal Engneerng Rchardson, TX Electrcal Engneerng Rchardson, TX Electrcal Engneerng Rchardson, TX Electrcal Engneerng Rchardson, TX Abstract Rapd energy-delay exploraton methodology based on crcut szng as appled to clocked storage elements s presented. rcut delay and energy are modeled usng mproved R delay model of a transstor. The accuracy of the model s ncreased by usng Logcal Effort setup accountng for nput sgnal slope and extracton of technology dependent parameters. The mnmal energy-delay curve s generated by optmzng transstor szes for mnmum energy at gven delay targets. Results sho to orders of magntude tme mprovement as compared to H-SPIE n order to generate such curves hle the delay accuracy of the model used remans thn 10 % as compared to H-SPIE. I. INTROUTION omparng dfferent crcut topologes n energy-delay (E-) space s necessary n order to determne optmal trade-offs n terms of performance and poer. To dfferent crcuts may behave dfferently for dfferent speed or energy targets, as llustrated n Fg.1. The E- curves for the to crcuts, shon n Fg.1, are obtaned by tunng transstor szes for the optmum delay for a gven energy budget (or vce versa), under fxed nput/output load and supply voltage assumpton. The best crcut topology of choce s determned by system specfcatons (nput/output load, delay, etc). There are other factors nfluencng the choce such as relablty and process varaton tolerance, but these are not subject of ths paper. In any case, one needs to obtan E- plots of varous crcut topologes under varous condtons and for the gven system specfcatons [13]. Ho to generate these curves thout elaborate smulaton s mportant because there are many possble szng solutons. An exhaustve search on every possble szng s tme consumng and for large crcuts, not possble. onsder as an example a crcut composed of 10 transstors here each transstor can be assgned 10 dfferent szes. Then, there are possble cases to be smulated. locked Storage Elements (SE), namely flp-flops or latches, are employed n the ppelne of hgh-performance processors. The outputs of logc operatons from the prevous stage need to be stored and ll be used as the nputs for the next stage n the next clock cycle [1]. Because of ther excessve number t s mportant to employ hgh-speed but lo-poer SEs to compensate for the hardare overhead accompaned by the ppelned archtecture. The optmal selecton of SEs s determned by the system specfcatons [1]. For fxed nput/output load and supply voltage, energy and delay can be traded aganst each other. Snce the delay and poer of a SE depends on the sze of each transstor, analyss of the Energy-elay metrc s requred []. The problem nvolves transstor/gate szng and developng a A tool for szng dgtal MOS crcuts s not a ne problem [3-5,10]. There has been much effort n szng dgtal MOS crcuts for mnmum delay, area, etc. Methods have been proposed on dfferent levels of abstracton, manly transstor [3,4] and gate-level [5,10]. Transstor-level szng formulaton depends on modelng the transstor as a stched R- netork. Each transstor s replaced by ts correspondng netork and rtng the delay equatons s merely rtng the delay of a dstrbuted R- netork. Gate-level solutons take a smlar approach. Instead of the transstor, the gate s modeled as a stched R- netork. The gate-level approach reduces the number of B has loer energy than A Fg. 1. Energy-delay space A has loer energy than B varables nvolved n the optmzaton problem. The number of varables n optmzaton problems s crtcal snce t has a drect mpact on the soluton tme. Transstor-level methods

2 d d Vout(t) g Vg= g s R s / t f h 1 h 1 h Vn Fg.. Transstor R- model. t 0 load Vout have the advantage of provdng a better soluton due to the flexblty n szng transstors ndependently as opposed to the gate-level approach here the p-n rato s fxed. In ths ork, a quck ay of generatng E- curves for SEs usng transstor szng s gven. Logcal Effort [10] s a smple szng method; hoever t s dffcult to decompose SEs nto smple gates (.e. nand, nor, etc.) hch nvolve feedback paths and dfferent crcut structures exst. Therefore, szng s done at the transstor level hch avods the need to decompose the crcut. Furthermore, transstor level szng gves the flexblty to sze each transstor ndependently (.e. there s no fxed pn rato). The R delay model used s an enhanced model. Parameters are extracted from technology characterzaton by takng nto account sgnal slope effects. The methodology s tested on dfferent structures. It allos the rght selecton of topology and s used to quckly evaluate E- space behavors of varous SE elements. II. TRANSISTOR MOEL USE FOR SIZING A transstor can be modeled as a set of resstances and capactances. Each transstor n the crcut s replaced by ts equvalent R- model. elay s modeled as the calculated R- netork delay (Elmore delay) of the crtcal paths. A transstor M of sze (sze refers to dth of the transstor e assume channel length s fxed and s the same for all transstors n the crcut) s modeled by ts gate/dran/source capactances and channel (dran-to-source) resstance as shon n Fg.. The gate and dran/source capactances for a transstor can be rtten as g = t* and d = s = p* here t and p are the gate and parastc capactances of a unt-sze transstor. If M s NMOS (PMOS), the channel resstance s RM = Rn/M (RM = Rp/M) here Rn (Rp) s the channel resstance of a unt szed NMOS (PMOS) transstor. t 0 t f = R out t (h 1)*h (h 1)*h Fg. 3. Setup for determnng τ n = Rˆ nt consderng nput slopes and varable loads (h =,3, ). onsder the fall delay of the nverter n Fg.. The delay assocated th ths operaton s tf.the unt sze transstor channel resstance hch s used to calculate R can be analytcally calculated from ˆ VTn 4( V ) ln dd V Tn L R n = + 1 V Tn V (1) dd µ ox( VTn) [7]. Equaton (1) does not account for the nput slope and other second order effects. Instead of dervng more complex equatons, technology characterzaton (.e. measurng th smulaton) can be used. The measured (and other parameters) ll reflect second order effects. Fg. 3 shos a Logcal Effort (LE) [11] characterzaton setup for determnng τ n = Rˆ nt by measurng the fall delay of the shaded nverter. Note that the nput-output slopes are made equal by proper loadng. Each nverter has a load of h nverters. By varyng the loadng factor h the parameter of nterest s extracted for dfferent nput/output slope condtons. Parameters obtaned from ths setup account for the nput slope effect on crcut delay. Hoever, equal nput-output slope assumpton of LE s not correct hen crcut s szed for mnmum energy. Hoever, n [14] t s shon that ths ll not ntroduce a sgnfcant error. A. Modelng elay h*h onsder the buffer n Fg. 4. Usng the R- models for transstors, the rse delay can be rtten as the sum of the stage delays as T = R 1+ R () Pluggng n the resstance and capactance values T = Rˆ n/ (( + + ( + ) t) + Rˆ / (( + p ) p ) p + load) (3) Factorng out tme constantτ n = Rˆ nt

3 T = τ n (4) + + load R 1 here = p / t, R = Rˆ p/ Rˆ n, and load s the transstor dth that gves load equvalent capactance. τ n,, and R are technology dependent parametrc constants and can be determned from technology characterzaton. B. Modelng Energy In determnng optmal crcut sze e consder dynamc energy because t has been shon that leakage energy s lnearly proportonal to transstor sze []. Leakage energy shfts the E- curve upards thout changng the shape of the curve. Short-crcut energy s consumed only hen both pmos and nmos are conductng at the same tme. It can be gnored for lo supply-voltage values [15]. Therefore, mnmzaton of energy over the dynamc energy s suffcent. ynamc energy n a MOS crcut s E = s V (5) here s the node capactance, s s the node stchng actvty, and V s the voltage sng (n general equal to supply voltage) at the node. Stchng actvty can be extracted by smulatng the crcut and observng actvty rates at all nodes. The node capactance s composed of the parastc and/or gate capactances of transstors connected to the node. apactance of node s = M j j Node t + p (6) Mk k Node for all transstors M j hose gate s connected to node and all transstors M k hose dran or source s connected to node. Factorng out t gves R = Rn/ 1 = d + d + g + g 1 = ( + )*p + ( + )*t 1 R = Rp/ Fg. 4. Rsng delay crtcal path of a buffer T = R 1 + R = d + d + load = ( + )*p + load load = t + M j M (7) k j Node k Node Assumng constant voltage sng for the hole crcut and re-rtng (5) yelds E = tv s M + j M (8) k j Node k Node It can be shon that (8) reduces to E (9) = r k k here r k s the approprate eghng factor for transstor k.. The optmzaton problem E- curves can be generated by settng a delay target and optmzng for energy. For a SE, the crtcal delay s the data-to-output delay: t -Q [8,1]. The fnal formulaton for mnmzng energy gven a delay target T s Mnmze tv s M + j j Node Subject to rse/fall delay constrants T() (Q) T TLH() (Q) T Mk k Node (10) here the objectve functon and delay expressons are functons of the transstor szes M. Usng the R modelng for delay equatons, the problem turns to be a geometrc programmng problem hch can be solved usng convex optmzaton [4]. Applcaton to a clocked storage element s straghtforard: The crtcal paths for data-to-output delay are dentfed. elays along these paths n terms of the transstors szes are rtten. For energy, node capactances are rtten n terms of transstor szes. Then, gven a delay target T, the problem of (11) s solved for transstor szes th a geometrc programmng solver. III. ESIGN EXAMPLES The effectveness of the methodology s demonstrated on dfferent state-of-the-art SEs taken from lterature, namely the UltraSparc edton of the sem-dynamc Flp-Flop (USPAR) [8] and the transmsson gate master-slave latch (TGMS)[9]. The to examples, USPAR and TGMS, are both used n ndustry and are representatves of hgh-performance and lo-poer desgns, respectvely. For the transstors models e used hgh-performance Predctve Technology Model (PTM) for 45nm technology node [1]. Some key features and extracted optmzaton parameters of the technology node are summarzed n Table I. The SEs are tested usng the setup shon n Fg. 7 [1]. The sze of the clock drver ( ck ) s adjusted to produce a FO

4 M8 5, 6 M5 1 5, 6 N1 7, slope at the clock nput of the SE. The output load (Ld out ) s set to 4 mnmum transstors sze (4x) equvalent capactance. Ths s equvalent to 14 mnmum szed nverters. For all experments, the nput loadng (Ldn) s less than or equal to 9x. TABLE I Technology dependent parameters of PTM technology nodes Tech. (V) mn(nm) τ n (ps) R t(ff) 45nm TABLE II. Transstor szng range for exhaustve search. USPAR = [1:3] = = = [:8] M5 = [1:1] M6 = M7 = [1:3] 4 = [1:6], 3 = *4 9, 0 7, 8 Fg. 5. Ultrasparc flpflop (USPAR) I B B M5 TGMS = [1:4] = [1:5] = [1:8] = [1:6] 4 = [1:3], 3 = *4 8 = [1:5], 7 = *8 = [1:6], 1 = * E- curves for USPAR and TGMS are generated by the szng optmzaton methodology. For USPAR the delay target range as chosen to be 5ps to 65ps th a 1ps nterval. For TGMS t as chosen to be from 60ps to 100ps. Optmzatons resulted n transstor szes for approxmately 40 E- ponts. I1 I3 I SB M6 M7 I1 I3 I4 3, 4 5, 6 M8 M6 7, 8 M7 B M9 0 1 I5 0 1, 9, 0 Fg. 6. Transmsson-gate master-slave latch (TGMS) M9 I5 I4 1, 3, 4 QB QB Fg. 7. Spce smulaton set-up for SEs Usng these szes n spce smulaton, the exact delay and energy values are obtaned for both SEs. To fnd out the correctness of our szng solutons a comparson th exhaustve search s done. The szng ranges used for transstors n exhaustve search are gven n Table II. The szes of transstors not gven n the table are assgned unt sze (.e. mn ). The comparson of results produced by the to methods s shon n Fg. 8. The ponts for TGMS obtaned by exhaustve search are the mnmum energy solutons for each delay pont selected among ~9000 ponts. Smlarly, for USPAR ~5000 ponts are smulated among hch the mnmum energy solutons are plotted n Fg. 8. Both curves are H-SPIE smulaton results. The results obtaned from exhaustve search do not sho a monotonc characterstc. There are jumps and gaps. Ths s because n order to avod excessve run-tme e have restrcted the number of szes a transstor can have. For example transstor of USPAR has a szng range of 1 to 3 mnmum transstor ( mn ) dth th a step of 1 mn. That s, t s alloed to have 3 possble values { mn,* mn,3* mn }. If the step sze as set to 0.5* mn each transstor ould have tce the number of possble szng values. Hoever, f the sze set of all transstor ould have doubled the number of ponts to be smulated ould have ncreased from ~5000 to ~ The search for optmal szes n exhaustve search s done by smulatng each possble szng combnaton of the transstors n H-SPIE. For the proposed method, transstor szes are obtaned from optmzaton and then only the optmzed szngs are smulated th H-SPIE. The optmzer fnds the optmum transstor szes based on the delay and energy models descrbed n Secton. A comparson of the E- plots th model estmates and spce smulaton results for the same transstor szes are gven n Fg. 9. For both SEs, the estmaton agreement th H-SPIE at the lo energy regon s deterorated. Ths s because at ths regon, transstors are close to mnmum sze and optmzaton s not effectve. Nevertheless, for USPAR a maxmum of 10% devaton s observed hereas t s only 5% for the TGMS. For the energy model, e expect more devaton, snce e have not accounted for short crcut and leakage energy. Therefore, the model estmates are expected to sho less energy as compared to H-SPIE as seen n Fg. 9. Furthermore, the unt sze gate capactance t s a non-lnear functon of the voltage. The measured t s an average value. Snce t s a constant factor n the model, an estmaton error n t s drectly reflected to the estmated energy value. Regardless, the optmal transstor szng s acheved and s not affected by these devatons.

5 REFERENES Fg. 8. omparson of E- plots generated by optmzaton and exhaustve search for USPAR and TGMS. 10% error n delay estmate Fg. 9. Estmaton error for the delay/energy model used n optmzaton. IV. ONLUSION A methodology for rapd transstor szng for optmal Flp-Flops confguratons s presented. It s smple, fast, and accurate. It can be appled to any Flp-Flop or crcut topology. espte the naccuraces of the energy and delay models used n optmzaton, the transstor szes found gve comparable results to tme consumng exhaustve search hch s able to fnd optmum szes hen suffcent computng tme s avalable. The total number of spce smulatons s reduced by to orders of magntude hen compared to exhaustve search. AKNOWLEGEMENTS Ths ork has been supported by SR Research Grant No. 008-HJ [1] Gacomotto,., Nedovc, N., Oklobdzja, V. G., The Effect of the System Specfcaton on the Optmal Selecton of locked Storage Elements, IEEE J. Sold State rcuts, vol. 4, no. 6, pp , June 007. [] ao, H. Q., Zeydel, B.R., Oklobdzja, V.G., Energy Optmzaton of Ppelned gtal Systems Usng rcut Szng and Supply Scalng, IEEE Transactons on VLSI Systems, vol. 14, no., pp , February 006. [3] Fshburn, J., unlop, A.: TILOS, A Posynomal Programmng Approach to Transstor Szng, In Proceedngs of the IEEE Internatonal onference on omputer-aded esgn, pp , [4] Sapatnekar, S. S., Rao, V. B., Vadya, P. M., Kang, S. M. An Exact Soluton to the Transstor Szng Problem for MOS rcuts Usng onvex Optmzaton, IEEE Trans. omputer-aded esgn, vol. 1, pp , Nov [5] Josh, S., Boyd. S., An Effcent Method for Large-Scale Gate Szng, IEEE Trans. rcuts Syst. I, vol. 55, no. 9, pp , Oct [6] Uyemura, J.P.: MOS Logc rcut esgn, 4th edton, Sprnger, [7] Stojanovc, V., Oklobdzja, V. G., omparatve Analyss of Master-Slave Latches and Flp-Flops for Hgh-Performance and Lo-Poer Systems, IEEE J. Sold State rcuts, vol. 34, pp , [8] Heald, R., et al., A Thrd-Generaton SPAR V9 64-b Mcroprocessor, IEEE J. Sold-State rcuts, vol. 35, no. 11, pp , Nov [9] Gerosa, G., et al., A. W, 80MHz Superscalar RIS Mcroprocessor, IEEE J. Sold-State rcuts, vol. 9, no. 1, pp , ec [10] Sutherland, I., Sproull, B., Harrs,., Logcal Effort: esgnng Fast MOS rcuts, San Francsco, A: Morgan Kaufmann, [11] Oklobdzja, V. G., Stojanovc, V. M., Markovc,. M., Nedovc, N. M., gtal System lockng: Hgh Performance and Lo-Poer Aspects. 1st edton Ne York: Wley/IEEE Press, 003 [1] Predctve Technology Model, [13] Zyuban, V., Strensk, P.N., Unfed Methodology for Resolvng Poer Performance Tradeoffs at the Mcroarchtectural and rcut Levels, In Proc. Int. Symp. Lo Poer Electroncs and esgn (ISLPE), 00, pp [14] Zeydel, B. R., Oklobdzja, V. G., Methodology for Energy-Effcent gtal rcut Szng: Important Issues and esgn Lmtatons, 16th nternatonal Workshop on Poer and Tmng Modelng, Optmzaton and Smulaton (PATMOS), Montpeller, France, 006. [15] Vratonjc, M., Zeydel, B. R., Oklobdzja, V. G., rcut Szng and Supply-Voltage Selecton for Lo-Poer gtal rcut esgn, 16th nternatonal Workshop on Poer and Tmng Modelng, Optmzaton and Smulaton (PATMOS), Montpeller, France, 006.

Logic effort and gate sizing

Logic effort and gate sizing EEN454 Dgtal Integrated rcut Desgn Logc effort and gate szng EEN 454 Introducton hp desgners face a bewlderng arra of choces What s the best crcut topolog for a functon? How man stages of logc gve least

More information

Estimating Delays. Gate Delay Model. Gate Delay. Effort Delay. Computing Logical Effort. Logical Effort

Estimating Delays. Gate Delay Model. Gate Delay. Effort Delay. Computing Logical Effort. Logical Effort Estmatng Delas Would be nce to have a back of the envelope method for szng gates for speed Logcal Effort ook b Sutherland, Sproull, Harrs Chapter s on our web page Gate Dela Model Frst, normalze a model

More information

Statistical Circuit Optimization Considering Device and Interconnect Process Variations

Statistical Circuit Optimization Considering Device and Interconnect Process Variations Statstcal Crcut Optmzaton Consderng Devce and Interconnect Process Varatons I-Jye Ln, Tsu-Yee Lng, and Yao-Wen Chang The Electronc Desgn Automaton Laboratory Department of Electrcal Engneerng Natonal Tawan

More information

Clock-Gating and Its Application to Low Power Design of Sequential Circuits

Clock-Gating and Its Application to Low Power Design of Sequential Circuits Clock-Gatng and Its Applcaton to Low Power Desgn of Sequental Crcuts ng WU Department of Electrcal Engneerng-Systems, Unversty of Southern Calforna Los Angeles, CA 989, USA, Phone: (23)74-448 Massoud PEDRAM

More information

Coarse-Grain MTCMOS Sleep

Coarse-Grain MTCMOS Sleep Coarse-Gran MTCMOS Sleep Transstor Szng Usng Delay Budgetng Ehsan Pakbazna and Massoud Pedram Unversty of Southern Calforna Dept. of Electrcal Engneerng DATE-08 Munch, Germany Leakage n CMOS Technology

More information

Interconnect Optimization for Deep-Submicron and Giga-Hertz ICs

Interconnect Optimization for Deep-Submicron and Giga-Hertz ICs Interconnect Optmzaton for Deep-Submcron and Gga-Hertz ICs Le He http://cadlab.cs.ucla.edu/~hele UCLA Computer Scence Department Los Angeles, CA 90095 Outlne Background and overvew LR-based STIS optmzaton

More information

Lossy Compression. Compromise accuracy of reconstruction for increased compression.

Lossy Compression. Compromise accuracy of reconstruction for increased compression. Lossy Compresson Compromse accuracy of reconstructon for ncreased compresson. The reconstructon s usually vsbly ndstngushable from the orgnal mage. Typcally, one can get up to 0:1 compresson wth almost

More information

Leakage and Dynamic Glitch Power Minimization Using Integer Linear Programming for V th Assignment and Path Balancing

Leakage and Dynamic Glitch Power Minimization Using Integer Linear Programming for V th Assignment and Path Balancing Leakage and Dynamc Gltch Power Mnmzaton Usng Integer Lnear Programmng for V th Assgnment and Path Balancng Yuanln Lu and Vshwan D. Agrawal Auburn Unversty, Department of ECE, Auburn, AL 36849, USA luyuanl@auburn.edu,

More information

Module 3 LOSSY IMAGE COMPRESSION SYSTEMS. Version 2 ECE IIT, Kharagpur

Module 3 LOSSY IMAGE COMPRESSION SYSTEMS. Version 2 ECE IIT, Kharagpur Module 3 LOSSY IMAGE COMPRESSION SYSTEMS Verson ECE IIT, Kharagpur Lesson 6 Theory of Quantzaton Verson ECE IIT, Kharagpur Instructonal Objectves At the end of ths lesson, the students should be able to:

More information

Lecture 7: Multistage Logic Networks. Best Number of Stages

Lecture 7: Multistage Logic Networks. Best Number of Stages Lecture 7: Multstage Logc Networks Multstage Logc Networks (cont. from Lec 06) Examples Readng: Ch. Best Number of Stages How many stages should a path use? Mnmzng number of stages s not always fastest

More information

( ) = ( ) + ( 0) ) ( )

( ) = ( ) + ( 0) ) ( ) EETOMAGNETI OMPATIBIITY HANDBOOK 1 hapter 9: Transent Behavor n the Tme Doman 9.1 Desgn a crcut usng reasonable values for the components that s capable of provdng a tme delay of 100 ms to a dgtal sgnal.

More information

CHAPTER 5 NUMERICAL EVALUATION OF DYNAMIC RESPONSE

CHAPTER 5 NUMERICAL EVALUATION OF DYNAMIC RESPONSE CHAPTER 5 NUMERICAL EVALUATION OF DYNAMIC RESPONSE Analytcal soluton s usually not possble when exctaton vares arbtrarly wth tme or f the system s nonlnear. Such problems can be solved by numercal tmesteppng

More information

Support Vector Machines CS434

Support Vector Machines CS434 Support Vector Machnes CS434 Lnear Separators Many lnear separators exst that perfectly classfy all tranng examples Whch of the lnear separators s the best? Intuton of Margn Consder ponts A, B, and C We

More information

Boise State University Department of Electrical and Computer Engineering ECE 212L Circuit Analysis and Design Lab

Boise State University Department of Electrical and Computer Engineering ECE 212L Circuit Analysis and Design Lab Bose State Unersty Department of Electrcal and omputer Engneerng EE 1L rcut Analyss and Desgn Lab Experment #8: The Integratng and Dfferentatng Op-Amp rcuts 1 Objectes The objectes of ths laboratory experment

More information

Chapter - 2. Distribution System Power Flow Analysis

Chapter - 2. Distribution System Power Flow Analysis Chapter - 2 Dstrbuton System Power Flow Analyss CHAPTER - 2 Radal Dstrbuton System Load Flow 2.1 Introducton Load flow s an mportant tool [66] for analyzng electrcal power system network performance. Load

More information

x i1 =1 for all i (the constant ).

x i1 =1 for all i (the constant ). Chapter 5 The Multple Regresson Model Consder an economc model where the dependent varable s a functon of K explanatory varables. The economc model has the form: y = f ( x,x,..., ) xk Approxmate ths by

More information

Winter 2008 CS567 Stochastic Linear/Integer Programming Guest Lecturer: Xu, Huan

Winter 2008 CS567 Stochastic Linear/Integer Programming Guest Lecturer: Xu, Huan Wnter 2008 CS567 Stochastc Lnear/Integer Programmng Guest Lecturer: Xu, Huan Class 2: More Modelng Examples 1 Capacty Expanson Capacty expanson models optmal choces of the tmng and levels of nvestments

More information

NUMERICAL DIFFERENTIATION

NUMERICAL DIFFERENTIATION NUMERICAL DIFFERENTIATION 1 Introducton Dfferentaton s a method to compute the rate at whch a dependent output y changes wth respect to the change n the ndependent nput x. Ths rate of change s called the

More information

Resource Allocation with a Budget Constraint for Computing Independent Tasks in the Cloud

Resource Allocation with a Budget Constraint for Computing Independent Tasks in the Cloud Resource Allocaton wth a Budget Constrant for Computng Independent Tasks n the Cloud Wemng Sh and Bo Hong School of Electrcal and Computer Engneerng Georga Insttute of Technology, USA 2nd IEEE Internatonal

More information

On the Multicriteria Integer Network Flow Problem

On the Multicriteria Integer Network Flow Problem BULGARIAN ACADEMY OF SCIENCES CYBERNETICS AND INFORMATION TECHNOLOGIES Volume 5, No 2 Sofa 2005 On the Multcrtera Integer Network Flow Problem Vassl Vasslev, Marana Nkolova, Maryana Vassleva Insttute of

More information

Basic Statistical Analysis and Yield Calculations

Basic Statistical Analysis and Yield Calculations October 17, 007 Basc Statstcal Analyss and Yeld Calculatons Dr. José Ernesto Rayas Sánchez 1 Outlne Sources of desgn-performance uncertanty Desgn and development processes Desgn for manufacturablty A general

More information

EEL 6266 Power System Operation and Control. Chapter 3 Economic Dispatch Using Dynamic Programming

EEL 6266 Power System Operation and Control. Chapter 3 Economic Dispatch Using Dynamic Programming EEL 6266 Power System Operaton and Control Chapter 3 Economc Dspatch Usng Dynamc Programmng Pecewse Lnear Cost Functons Common practce many utltes prefer to represent ther generator cost functons as sngle-

More information

Boise State University Department of Electrical and Computer Engineering ECE 212L Circuit Analysis and Design Lab

Boise State University Department of Electrical and Computer Engineering ECE 212L Circuit Analysis and Design Lab Bose State Unersty Department of Electrcal and omputer Engneerng EE 1L rcut Analyss and Desgn Lab Experment #8: The Integratng and Dfferentatng Op-Amp rcuts 1 Objectes The objectes of ths laboratory experment

More information

Numerical Heat and Mass Transfer

Numerical Heat and Mass Transfer Master degree n Mechancal Engneerng Numercal Heat and Mass Transfer 06-Fnte-Dfference Method (One-dmensonal, steady state heat conducton) Fausto Arpno f.arpno@uncas.t Introducton Why we use models and

More information

Uncertainty in measurements of power and energy on power networks

Uncertainty in measurements of power and energy on power networks Uncertanty n measurements of power and energy on power networks E. Manov, N. Kolev Department of Measurement and Instrumentaton, Techncal Unversty Sofa, bul. Klment Ohrdsk No8, bl., 000 Sofa, Bulgara Tel./fax:

More information

Run-time Active Leakage Reduction By Power Gating And Reverse Body Biasing: An Energy View

Run-time Active Leakage Reduction By Power Gating And Reverse Body Biasing: An Energy View Run-tme Actve Leakage Reducton By Power Gatng And Reverse Body Basng: An Energy Vew Hao Xu, Ranga Vemur and Wen-Ben Jone Department of Electrcal and Computer Engneerng, Unversty of Cncnnat Cncnnat, Oho

More information

CIS526: Machine Learning Lecture 3 (Sept 16, 2003) Linear Regression. Preparation help: Xiaoying Huang. x 1 θ 1 output... θ M x M

CIS526: Machine Learning Lecture 3 (Sept 16, 2003) Linear Regression. Preparation help: Xiaoying Huang. x 1 θ 1 output... θ M x M CIS56: achne Learnng Lecture 3 (Sept 6, 003) Preparaton help: Xaoyng Huang Lnear Regresson Lnear regresson can be represented by a functonal form: f(; θ) = θ 0 0 +θ + + θ = θ = 0 ote: 0 s a dummy attrbute

More information

FUZZY GOAL PROGRAMMING VS ORDINARY FUZZY PROGRAMMING APPROACH FOR MULTI OBJECTIVE PROGRAMMING PROBLEM

FUZZY GOAL PROGRAMMING VS ORDINARY FUZZY PROGRAMMING APPROACH FOR MULTI OBJECTIVE PROGRAMMING PROBLEM Internatonal Conference on Ceramcs, Bkaner, Inda Internatonal Journal of Modern Physcs: Conference Seres Vol. 22 (2013) 757 761 World Scentfc Publshng Company DOI: 10.1142/S2010194513010982 FUZZY GOAL

More information

Annexes. EC.1. Cycle-base move illustration. EC.2. Problem Instances

Annexes. EC.1. Cycle-base move illustration. EC.2. Problem Instances ec Annexes Ths Annex frst llustrates a cycle-based move n the dynamc-block generaton tabu search. It then dsplays the characterstcs of the nstance sets, followed by detaled results of the parametercalbraton

More information

Multigradient for Neural Networks for Equalizers 1

Multigradient for Neural Networks for Equalizers 1 Multgradent for Neural Netorks for Equalzers 1 Chulhee ee, Jnook Go and Heeyoung Km Department of Electrcal and Electronc Engneerng Yonse Unversty 134 Shnchon-Dong, Seodaemun-Ku, Seoul 1-749, Korea ABSTRACT

More information

Chapter 13: Multiple Regression

Chapter 13: Multiple Regression Chapter 13: Multple Regresson 13.1 Developng the multple-regresson Model The general model can be descrbed as: It smplfes for two ndependent varables: The sample ft parameter b 0, b 1, and b are used to

More information

LOW BIAS INTEGRATED PATH ESTIMATORS. James M. Calvin

LOW BIAS INTEGRATED PATH ESTIMATORS. James M. Calvin Proceedngs of the 007 Wnter Smulaton Conference S G Henderson, B Bller, M-H Hseh, J Shortle, J D Tew, and R R Barton, eds LOW BIAS INTEGRATED PATH ESTIMATORS James M Calvn Department of Computer Scence

More information

Variability-Driven Module Selection with Joint Design Time Optimization and Post-Silicon Tuning

Variability-Driven Module Selection with Joint Design Time Optimization and Post-Silicon Tuning Asa and South Pacfc Desgn Automaton Conference 2008 Varablty-Drven Module Selecton wth Jont Desgn Tme Optmzaton and Post-Slcon Tunng Feng Wang, Xaoxa Wu, Yuan Xe The Pennsylvana State Unversty Department

More information

Effective Power Optimization combining Placement, Sizing, and Multi-Vt techniques

Effective Power Optimization combining Placement, Sizing, and Multi-Vt techniques Effectve Power Optmzaton combnng Placement, Szng, and Mult-Vt technques Tao Luo, Davd Newmark*, and Davd Z Pan Department of Electrcal and Computer Engneerng, Unversty of Texas at Austn *Advanced Mcro

More information

Queueing Networks II Network Performance

Queueing Networks II Network Performance Queueng Networks II Network Performance Davd Tpper Assocate Professor Graduate Telecommuncatons and Networkng Program Unversty of Pttsburgh Sldes 6 Networks of Queues Many communcaton systems must be modeled

More information

LINEAR REGRESSION ANALYSIS. MODULE IX Lecture Multicollinearity

LINEAR REGRESSION ANALYSIS. MODULE IX Lecture Multicollinearity LINEAR REGRESSION ANALYSIS MODULE IX Lecture - 31 Multcollnearty Dr. Shalabh Department of Mathematcs and Statstcs Indan Insttute of Technology Kanpur 6. Rdge regresson The OLSE s the best lnear unbased

More information

Classification as a Regression Problem

Classification as a Regression Problem Target varable y C C, C,, ; Classfcaton as a Regresson Problem { }, 3 L C K To treat classfcaton as a regresson problem we should transform the target y nto numercal values; The choce of numercal class

More information

A FAST HEURISTIC FOR TASKS ASSIGNMENT IN MANYCORE SYSTEMS WITH VOLTAGE-FREQUENCY ISLANDS

A FAST HEURISTIC FOR TASKS ASSIGNMENT IN MANYCORE SYSTEMS WITH VOLTAGE-FREQUENCY ISLANDS Shervn Haamn A FAST HEURISTIC FOR TASKS ASSIGNMENT IN MANYCORE SYSTEMS WITH VOLTAGE-FREQUENCY ISLANDS INTRODUCTION Increasng computatons n applcatons has led to faster processng. o Use more cores n a chp

More information

Lecture 4: Adders. Computer Systems Laboratory Stanford University

Lecture 4: Adders. Computer Systems Laboratory Stanford University Lecture 4: Adders Computer Systems Laboratory Stanford Unversty horowtz@stanford.edu Copyrght 2004 by Mark Horowtz (w/ Fgures from Hgh-Performance Mcroprocessor Desgn IEEE And Fgures from Bora Nkolc 1

More information

Suppose that there s a measured wndow of data fff k () ; :::; ff k g of a sze w, measured dscretely wth varable dscretzaton step. It s convenent to pl

Suppose that there s a measured wndow of data fff k () ; :::; ff k g of a sze w, measured dscretely wth varable dscretzaton step. It s convenent to pl RECURSIVE SPLINE INTERPOLATION METHOD FOR REAL TIME ENGINE CONTROL APPLICATIONS A. Stotsky Volvo Car Corporaton Engne Desgn and Development Dept. 97542, HA1N, SE- 405 31 Gothenburg Sweden. Emal: astotsky@volvocars.com

More information

Solutions HW #2. minimize. Ax = b. Give the dual problem, and make the implicit equality constraints explicit. Solution.

Solutions HW #2. minimize. Ax = b. Give the dual problem, and make the implicit equality constraints explicit. Solution. Solutons HW #2 Dual of general LP. Fnd the dual functon of the LP mnmze subject to c T x Gx h Ax = b. Gve the dual problem, and make the mplct equalty constrants explct. Soluton. 1. The Lagrangan s L(x,

More information

T E C O L O T E R E S E A R C H, I N C.

T E C O L O T E R E S E A R C H, I N C. T E C O L O T E R E S E A R C H, I N C. B rdg n g En g neern g a nd Econo mcs S nce 1973 THE MINIMUM-UNBIASED-PERCENTAGE ERROR (MUPE) METHOD IN CER DEVELOPMENT Thrd Jont Annual ISPA/SCEA Internatonal Conference

More information

Speeding up Computation of Scalar Multiplication in Elliptic Curve Cryptosystem

Speeding up Computation of Scalar Multiplication in Elliptic Curve Cryptosystem H.K. Pathak et. al. / (IJCSE) Internatonal Journal on Computer Scence and Engneerng Speedng up Computaton of Scalar Multplcaton n Ellptc Curve Cryptosystem H. K. Pathak Manju Sangh S.o.S n Computer scence

More information

CHAPTER 13. Exercises. E13.1 The emitter current is given by the Shockley equation:

CHAPTER 13. Exercises. E13.1 The emitter current is given by the Shockley equation: HPT 3 xercses 3. The emtter current s gen by the Shockley equaton: S exp VT For operaton wth, we hae exp >> S >>, and we can wrte VT S exp VT Solng for, we hae 3. 0 6ln 78.4 mv 0 0.784 5 4.86 V VT ln 4

More information

4 Analysis of Variance (ANOVA) 5 ANOVA. 5.1 Introduction. 5.2 Fixed Effects ANOVA

4 Analysis of Variance (ANOVA) 5 ANOVA. 5.1 Introduction. 5.2 Fixed Effects ANOVA 4 Analyss of Varance (ANOVA) 5 ANOVA 51 Introducton ANOVA ANOVA s a way to estmate and test the means of multple populatons We wll start wth one-way ANOVA If the populatons ncluded n the study are selected

More information

Parameter Estimation for Dynamic System using Unscented Kalman filter

Parameter Estimation for Dynamic System using Unscented Kalman filter Parameter Estmaton for Dynamc System usng Unscented Kalman flter Jhoon Seung 1,a, Amr Atya F. 2,b, Alexander G.Parlos 3,c, and Klto Chong 1,4,d* 1 Dvson of Electroncs Engneerng, Chonbuk Natonal Unversty,

More information

Lecture 12: Classification

Lecture 12: Classification Lecture : Classfcaton g Dscrmnant functons g The optmal Bayes classfer g Quadratc classfers g Eucldean and Mahalanobs metrcs g K Nearest Neghbor Classfers Intellgent Sensor Systems Rcardo Guterrez-Osuna

More information

Generalized Linear Methods

Generalized Linear Methods Generalzed Lnear Methods 1 Introducton In the Ensemble Methods the general dea s that usng a combnaton of several weak learner one could make a better learner. More formally, assume that we have a set

More information

TOPICS MULTIPLIERLESS FILTER DESIGN ELEMENTARY SCHOOL ALGORITHM MULTIPLICATION

TOPICS MULTIPLIERLESS FILTER DESIGN ELEMENTARY SCHOOL ALGORITHM MULTIPLICATION 1 2 MULTIPLIERLESS FILTER DESIGN Realzaton of flters wthout full-fledged multplers Some sldes based on support materal by W. Wolf for hs book Modern VLSI Desgn, 3 rd edton. Partly based on followng papers:

More information

Statistical Leakage and Timing Optimization for Submicron Process Variation

Statistical Leakage and Timing Optimization for Submicron Process Variation Statstcal Leakage and Tmng Optmzaton for Submcron Process araton Yuanln Lu and shwan. grawal uburn Unversty epartment of Electrcal and omputer Engneerng uburn, L 36849, US luyuanl@auburn.edu, vagrawal@eng.auburn.edu

More information

Problem Set 9 Solutions

Problem Set 9 Solutions Desgn and Analyss of Algorthms May 4, 2015 Massachusetts Insttute of Technology 6.046J/18.410J Profs. Erk Demane, Srn Devadas, and Nancy Lynch Problem Set 9 Solutons Problem Set 9 Solutons Ths problem

More information

STUDY OF A THREE-AXIS PIEZORESISTIVE ACCELEROMETER WITH UNIFORM AXIAL SENSITIVITIES

STUDY OF A THREE-AXIS PIEZORESISTIVE ACCELEROMETER WITH UNIFORM AXIAL SENSITIVITIES STUDY OF A THREE-AXIS PIEZORESISTIVE ACCELEROMETER WITH UNIFORM AXIAL SENSITIVITIES Abdelkader Benchou, PhD Canddate Nasreddne Benmoussa, PhD Kherreddne Ghaffour, PhD Unversty of Tlemcen/Unt of Materals

More information

Kernel Methods and SVMs Extension

Kernel Methods and SVMs Extension Kernel Methods and SVMs Extenson The purpose of ths document s to revew materal covered n Machne Learnng 1 Supervsed Learnng regardng support vector machnes (SVMs). Ths document also provdes a general

More information

Constructing Control Process for Wafer Defects Using Data Mining Technique

Constructing Control Process for Wafer Defects Using Data Mining Technique The Fourth nternatonal Conference on Electronc Busness (CEB004) / Bejng 5 Constructng Control ocess for Wafer Defects Usng Data Mnng Technque Leeng Tong Hsngyn Lee Chfeng Huang Changke Ln Chenhu Yang Department

More information

A Simple Inventory System

A Simple Inventory System A Smple Inventory System Lawrence M. Leems and Stephen K. Park, Dscrete-Event Smulaton: A Frst Course, Prentce Hall, 2006 Hu Chen Computer Scence Vrgna State Unversty Petersburg, Vrgna February 8, 2017

More information

Chapter 12 Analysis of Covariance

Chapter 12 Analysis of Covariance Chapter Analyss of Covarance Any scentfc experment s performed to know somethng that s unknown about a group of treatments and to test certan hypothess about the correspondng treatment effect When varablty

More information

POWER AND PERFORMANCE OPTIMIZATION OF STATIC CMOS CIRCUITS WITH PROCESS VARIATION

POWER AND PERFORMANCE OPTIMIZATION OF STATIC CMOS CIRCUITS WITH PROCESS VARIATION POWER AND PERFORMANCE OPTIMIZATION OF STATIC CMOS CIRCUITS WITH PROCESS VARIATION Except where reference s made to the work of others, the work descrbed n ths dssertaton s my own or was done n collaboraton

More information

The Minimum Universal Cost Flow in an Infeasible Flow Network

The Minimum Universal Cost Flow in an Infeasible Flow Network Journal of Scences, Islamc Republc of Iran 17(2): 175-180 (2006) Unversty of Tehran, ISSN 1016-1104 http://jscencesutacr The Mnmum Unversal Cost Flow n an Infeasble Flow Network H Saleh Fathabad * M Bagheran

More information

VLSI Circuit Performance Optimization by Geometric Programming

VLSI Circuit Performance Optimization by Geometric Programming Annals of Operatons Research 05, 37 60, 200 2002 Kluwer Academc Publshers. Manufactured n The Netherlands. VLSI Crcut Performance Optmzaton by Geometrc Programmng CHRIS CHU cnchu@astate.edu Department

More information

Department of Electrical & Electronic Engineeing Imperial College London. E4.20 Digital IC Design. Median Filter Project Specification

Department of Electrical & Electronic Engineeing Imperial College London. E4.20 Digital IC Design. Median Filter Project Specification Desgn Project Specfcaton Medan Flter Department of Electrcal & Electronc Engneeng Imperal College London E4.20 Dgtal IC Desgn Medan Flter Project Specfcaton A medan flter s used to remove nose from a sampled

More information

Feature Selection: Part 1

Feature Selection: Part 1 CSE 546: Machne Learnng Lecture 5 Feature Selecton: Part 1 Instructor: Sham Kakade 1 Regresson n the hgh dmensonal settng How do we learn when the number of features d s greater than the sample sze n?

More information

Determining Transmission Losses Penalty Factor Using Adaptive Neuro Fuzzy Inference System (ANFIS) For Economic Dispatch Application

Determining Transmission Losses Penalty Factor Using Adaptive Neuro Fuzzy Inference System (ANFIS) For Economic Dispatch Application 7 Determnng Transmsson Losses Penalty Factor Usng Adaptve Neuro Fuzzy Inference System (ANFIS) For Economc Dspatch Applcaton Rony Seto Wbowo Maurdh Hery Purnomo Dod Prastanto Electrcal Engneerng Department,

More information

Lecture 10 Support Vector Machines II

Lecture 10 Support Vector Machines II Lecture 10 Support Vector Machnes II 22 February 2016 Taylor B. Arnold Yale Statstcs STAT 365/665 1/28 Notes: Problem 3 s posted and due ths upcomng Frday There was an early bug n the fake-test data; fxed

More information

1 Derivation of Rate Equations from Single-Cell Conductance (Hodgkin-Huxley-like) Equations

1 Derivation of Rate Equations from Single-Cell Conductance (Hodgkin-Huxley-like) Equations Physcs 171/271 -Davd Klenfeld - Fall 2005 (revsed Wnter 2011) 1 Dervaton of Rate Equatons from Sngle-Cell Conductance (Hodgkn-Huxley-lke) Equatons We consder a network of many neurons, each of whch obeys

More information

Lecture Notes on Linear Regression

Lecture Notes on Linear Regression Lecture Notes on Lnear Regresson Feng L fl@sdueducn Shandong Unversty, Chna Lnear Regresson Problem In regresson problem, we am at predct a contnuous target value gven an nput feature vector We assume

More information

Low Complexity Soft-Input Soft-Output Hamming Decoder

Low Complexity Soft-Input Soft-Output Hamming Decoder Low Complexty Soft-Input Soft-Output Hammng Der Benjamn Müller, Martn Holters, Udo Zölzer Helmut Schmdt Unversty Unversty of the Federal Armed Forces Department of Sgnal Processng and Communcatons Holstenhofweg

More information

Design and Optimization of Fuzzy Controller for Inverse Pendulum System Using Genetic Algorithm

Design and Optimization of Fuzzy Controller for Inverse Pendulum System Using Genetic Algorithm Desgn and Optmzaton of Fuzzy Controller for Inverse Pendulum System Usng Genetc Algorthm H. Mehraban A. Ashoor Unversty of Tehran Unversty of Tehran h.mehraban@ece.ut.ac.r a.ashoor@ece.ut.ac.r Abstract:

More information

ANOMALIES OF THE MAGNITUDE OF THE BIAS OF THE MAXIMUM LIKELIHOOD ESTIMATOR OF THE REGRESSION SLOPE

ANOMALIES OF THE MAGNITUDE OF THE BIAS OF THE MAXIMUM LIKELIHOOD ESTIMATOR OF THE REGRESSION SLOPE P a g e ANOMALIES OF THE MAGNITUDE OF THE BIAS OF THE MAXIMUM LIKELIHOOD ESTIMATOR OF THE REGRESSION SLOPE Darmud O Drscoll ¹, Donald E. Ramrez ² ¹ Head of Department of Mathematcs and Computer Studes

More information

Dr. Shalabh Department of Mathematics and Statistics Indian Institute of Technology Kanpur

Dr. Shalabh Department of Mathematics and Statistics Indian Institute of Technology Kanpur Analyss of Varance and Desgn of Exerments-I MODULE III LECTURE - 2 EXPERIMENTAL DESIGN MODELS Dr. Shalabh Deartment of Mathematcs and Statstcs Indan Insttute of Technology Kanur 2 We consder the models

More information

A SEPARABLE APPROXIMATION DYNAMIC PROGRAMMING ALGORITHM FOR ECONOMIC DISPATCH WITH TRANSMISSION LOSSES. Pierre HANSEN, Nenad MLADENOVI]

A SEPARABLE APPROXIMATION DYNAMIC PROGRAMMING ALGORITHM FOR ECONOMIC DISPATCH WITH TRANSMISSION LOSSES. Pierre HANSEN, Nenad MLADENOVI] Yugoslav Journal of Operatons Research (00) umber 57-66 A SEPARABLE APPROXIMATIO DYAMIC PROGRAMMIG ALGORITHM FOR ECOOMIC DISPATCH WITH TRASMISSIO LOSSES Perre HASE enad MLADEOVI] GERAD and Ecole des Hautes

More information

Logical Effort of Higher Valency Adders

Logical Effort of Higher Valency Adders Logcal Effort of gher Valency Adders Davd arrs arvey Mudd College E. Twelfth St. Claremont, CA Davd_arrs@hmc.edu Abstract gher valency parallel prefx adders reduce the number of logc levels at the expense

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 ourse dmnstraton PE/EE 47, PE 57 VLI esgn I L8: Pass Transstor Logc epartment of Electrcal and omputer Engneerng Unversty of labama n Huntsvlle leksandar Mlenkovc ( www. ece.uah.edu/~mlenka ) www. ece.uah.edu/~mlenka/cpe57-

More information

Constitutive Modelling of Superplastic AA-5083

Constitutive Modelling of Superplastic AA-5083 TECHNISCHE MECHANIK, 3, -5, (01, 1-6 submtted: September 19, 011 Consttutve Modellng of Superplastc AA-5083 G. Gulano In ths study a fast procedure for determnng the constants of superplastc 5083 Al alloy

More information

Pattern Classification

Pattern Classification Pattern Classfcaton All materals n these sldes ere taken from Pattern Classfcaton (nd ed) by R. O. Duda, P. E. Hart and D. G. Stork, John Wley & Sons, 000 th the permsson of the authors and the publsher

More information

9 Derivation of Rate Equations from Single-Cell Conductance (Hodgkin-Huxley-like) Equations

9 Derivation of Rate Equations from Single-Cell Conductance (Hodgkin-Huxley-like) Equations Physcs 171/271 - Chapter 9R -Davd Klenfeld - Fall 2005 9 Dervaton of Rate Equatons from Sngle-Cell Conductance (Hodgkn-Huxley-lke) Equatons We consder a network of many neurons, each of whch obeys a set

More information

Linear Approximation with Regularization and Moving Least Squares

Linear Approximation with Regularization and Moving Least Squares Lnear Approxmaton wth Regularzaton and Movng Least Squares Igor Grešovn May 007 Revson 4.6 (Revson : March 004). 5 4 3 0.5 3 3.5 4 Contents: Lnear Fttng...4. Weghted Least Squares n Functon Approxmaton...

More information

The Convergence Speed of Single- And Multi-Objective Immune Algorithm Based Optimization Problems

The Convergence Speed of Single- And Multi-Objective Immune Algorithm Based Optimization Problems The Convergence Speed of Sngle- And Mult-Obectve Immune Algorthm Based Optmzaton Problems Mohammed Abo-Zahhad Faculty of Engneerng, Electrcal and Electroncs Engneerng Department, Assut Unversty, Assut,

More information

Composite Hypotheses testing

Composite Hypotheses testing Composte ypotheses testng In many hypothess testng problems there are many possble dstrbutons that can occur under each of the hypotheses. The output of the source s a set of parameters (ponts n a parameter

More information

Scroll Generation with Inductorless Chua s Circuit and Wien Bridge Oscillator

Scroll Generation with Inductorless Chua s Circuit and Wien Bridge Oscillator Latest Trends on Crcuts, Systems and Sgnals Scroll Generaton wth Inductorless Chua s Crcut and Wen Brdge Oscllator Watcharn Jantanate, Peter A. Chayasena, and Sarawut Sutorn * Abstract An nductorless Chua

More information

Which Separator? Spring 1

Which Separator? Spring 1 Whch Separator? 6.034 - Sprng 1 Whch Separator? Mamze the margn to closest ponts 6.034 - Sprng Whch Separator? Mamze the margn to closest ponts 6.034 - Sprng 3 Margn of a pont " # y (w $ + b) proportonal

More information

STATIC OPTIMIZATION: BASICS

STATIC OPTIMIZATION: BASICS STATIC OPTIMIZATION: BASICS 7A- Lecture Overvew What s optmzaton? What applcatons? How can optmzaton be mplemented? How can optmzaton problems be solved? Why should optmzaton apply n human movement? How

More information

CS 2750 Machine Learning. Lecture 5. Density estimation. CS 2750 Machine Learning. Announcements

CS 2750 Machine Learning. Lecture 5. Density estimation. CS 2750 Machine Learning. Announcements CS 750 Machne Learnng Lecture 5 Densty estmaton Mlos Hauskrecht mlos@cs.ptt.edu 539 Sennott Square CS 750 Machne Learnng Announcements Homework Due on Wednesday before the class Reports: hand n before

More information

A Particle Filter Algorithm based on Mixing of Prior probability density and UKF as Generate Importance Function

A Particle Filter Algorithm based on Mixing of Prior probability density and UKF as Generate Importance Function Advanced Scence and Technology Letters, pp.83-87 http://dx.do.org/10.14257/astl.2014.53.20 A Partcle Flter Algorthm based on Mxng of Pror probablty densty and UKF as Generate Importance Functon Lu Lu 1,1,

More information

Chapter 11: Simple Linear Regression and Correlation

Chapter 11: Simple Linear Regression and Correlation Chapter 11: Smple Lnear Regresson and Correlaton 11-1 Emprcal Models 11-2 Smple Lnear Regresson 11-3 Propertes of the Least Squares Estmators 11-4 Hypothess Test n Smple Lnear Regresson 11-4.1 Use of t-tests

More information

LINEAR REGRESSION ANALYSIS. MODULE IX Lecture Multicollinearity

LINEAR REGRESSION ANALYSIS. MODULE IX Lecture Multicollinearity LINEAR REGRESSION ANALYSIS MODULE IX Lecture - 30 Multcollnearty Dr. Shalabh Department of Mathematcs and Statstcs Indan Insttute of Technology Kanpur 2 Remedes for multcollnearty Varous technques have

More information

Copyright 2004 by Oxford University Press, Inc.

Copyright 2004 by Oxford University Press, Inc. JT as an Amplfer &a Swtch, Large Sgnal Operaton, Graphcal Analyss, JT at D, asng JT, Small Sgnal Operaton Model, Hybrd P-Model, TModel. Lecture # 7 1 Drecton of urrent Flow & Operaton for Amplfer Applcaton

More information

Optimum Design of Steel Frames Considering Uncertainty of Parameters

Optimum Design of Steel Frames Considering Uncertainty of Parameters 9 th World Congress on Structural and Multdscplnary Optmzaton June 13-17, 211, Shzuoka, Japan Optmum Desgn of Steel Frames Consderng ncertanty of Parameters Masahko Katsura 1, Makoto Ohsak 2 1 Hroshma

More information

Global Sensitivity. Tuesday 20 th February, 2018

Global Sensitivity. Tuesday 20 th February, 2018 Global Senstvty Tuesday 2 th February, 28 ) Local Senstvty Most senstvty analyses [] are based on local estmates of senstvty, typcally by expandng the response n a Taylor seres about some specfc values

More information

EXPERT CONTROL BASED ON NEURAL NETWORKS FOR CONTROLLING GREENHOUSE ENVIRONMENT

EXPERT CONTROL BASED ON NEURAL NETWORKS FOR CONTROLLING GREENHOUSE ENVIRONMENT EXPERT CONTROL BASED ON NEURAL NETWORKS FOR CONTROLLING GREENHOUSE ENVIRONMENT Le Du Bejng Insttute of Technology, Bejng, 100081, Chna Abstract: Keyords: Dependng upon the nonlnear feature beteen neural

More information

Traffic Signal Timing: Basic Principles. Development of a Traffic Signal Phasing and Timing Plan. Two Phase and Three Phase Signal Operation

Traffic Signal Timing: Basic Principles. Development of a Traffic Signal Phasing and Timing Plan. Two Phase and Three Phase Signal Operation Traffc Sgnal Tmng: Basc Prncples 2 types of sgnals Pre-tmed Traffc actuated Objectves of sgnal tmng Reduce average delay of all vehcles Reduce probablty of accdents by mnmzng possble conflct ponts Objectves

More information

Predictive Analytics : QM901.1x Prof U Dinesh Kumar, IIMB. All Rights Reserved, Indian Institute of Management Bangalore

Predictive Analytics : QM901.1x Prof U Dinesh Kumar, IIMB. All Rights Reserved, Indian Institute of Management Bangalore Sesson Outlne Introducton to classfcaton problems and dscrete choce models. Introducton to Logstcs Regresson. Logstc functon and Logt functon. Maxmum Lkelhood Estmator (MLE) for estmaton of LR parameters.

More information

Distributed Sleep Transistor Network for Power Reduction

Distributed Sleep Transistor Network for Power Reduction 11.3 Dstrbuted Sleep Transstor Network for Power Reducton Changbo Long ECE Department Unversty of Wsconsn, Madson clong@cae.wsc.edu Le He EE Department UCLA lhe@ee.ucla.edu ABSTRACT Sleep transstors are

More information

Chapter 5. Solution of System of Linear Equations. Module No. 6. Solution of Inconsistent and Ill Conditioned Systems

Chapter 5. Solution of System of Linear Equations. Module No. 6. Solution of Inconsistent and Ill Conditioned Systems Numercal Analyss by Dr. Anta Pal Assstant Professor Department of Mathematcs Natonal Insttute of Technology Durgapur Durgapur-713209 emal: anta.bue@gmal.com 1 . Chapter 5 Soluton of System of Lnear Equatons

More information

CHAPTER 7 STOCHASTIC ECONOMIC EMISSION DISPATCH-MODELED USING WEIGHTING METHOD

CHAPTER 7 STOCHASTIC ECONOMIC EMISSION DISPATCH-MODELED USING WEIGHTING METHOD 90 CHAPTER 7 STOCHASTIC ECOOMIC EMISSIO DISPATCH-MODELED USIG WEIGHTIG METHOD 7.1 ITRODUCTIO early 70% of electrc power produced n the world s by means of thermal plants. Thermal power statons are the

More information

Statistics for Economics & Business

Statistics for Economics & Business Statstcs for Economcs & Busness Smple Lnear Regresson Learnng Objectves In ths chapter, you learn: How to use regresson analyss to predct the value of a dependent varable based on an ndependent varable

More information

MAE140 - Linear Circuits - Winter 16 Final, March 16, 2016

MAE140 - Linear Circuits - Winter 16 Final, March 16, 2016 ME140 - Lnear rcuts - Wnter 16 Fnal, March 16, 2016 Instructons () The exam s open book. You may use your class notes and textbook. You may use a hand calculator wth no communcaton capabltes. () You have

More information

Dr. Shalabh Department of Mathematics and Statistics Indian Institute of Technology Kanpur

Dr. Shalabh Department of Mathematics and Statistics Indian Institute of Technology Kanpur Analyss of Varance and Desgn of Experment-I MODULE VII LECTURE - 3 ANALYSIS OF COVARIANCE Dr Shalabh Department of Mathematcs and Statstcs Indan Insttute of Technology Kanpur Any scentfc experment s performed

More information

Single-Facility Scheduling over Long Time Horizons by Logic-based Benders Decomposition

Single-Facility Scheduling over Long Time Horizons by Logic-based Benders Decomposition Sngle-Faclty Schedulng over Long Tme Horzons by Logc-based Benders Decomposton Elvn Coban and J. N. Hooker Tepper School of Busness, Carnege Mellon Unversty ecoban@andrew.cmu.edu, john@hooker.tepper.cmu.edu

More information

PHYS 705: Classical Mechanics. Calculus of Variations II

PHYS 705: Classical Mechanics. Calculus of Variations II 1 PHYS 705: Classcal Mechancs Calculus of Varatons II 2 Calculus of Varatons: Generalzaton (no constrant yet) Suppose now that F depends on several dependent varables : We need to fnd such that has a statonary

More information

FUZZY FINITE ELEMENT METHOD

FUZZY FINITE ELEMENT METHOD FUZZY FINITE ELEMENT METHOD RELIABILITY TRUCTURE ANALYI UING PROBABILITY 3.. Maxmum Normal tress Internal force s the shear force, V has a magntude equal to the load P and bendng moment, M. Bendng moments

More information