In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures

Size: px
Start display at page:

Download "In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures"

Transcription

1 In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures Jun Yan *, Davoud Zamani *, Bert Vermeire +, Farhang Shadman * * Chemical Engineering, University of Arizona + Electrical Engineering, Arizona State University 1

2 Outline Background Objective and Approach Electro-Chemical Residue Sensor (ECRS) Applications to the batch tools Applications to the single wafer tools 2

3 Semiconductor Manufacturing Technology Nodes 10 µm R. Chau et. al., Intel Corp., 61st DRC, June µm 90 nm 32 nm Can we use current surface preparation technologies for future nano features? Data from 3

4 Fast Increase of Water Usage 50 % of which is UPW consumption Can we decrease water usage per unit wafer area? 4

5 Typical Wet Process The rinse process consumes the 80-85% of the ultra pure water. Over rinse is common practice. 5

6 Metrology Requirement Convection Desorption Adsorption Diffusion Water Chemical Wafer Desorption Convection Convection Desorption Convection/ Diffusion Fundamentals of rinsing patterned wafers are poorly understood Key to efficient rinse is on-line metrology; technology not available presently 6

7 Resistivity Measurement 7

8 Surface Analysis (TXRF and SIMS) Pros: High sensitivity ( atoms/cm 2 ) Low operation cost Cons : High equipment cost Time consuming Low portability Not sensitive for low atomic number elements (TXRF) Flat surface required(txrf) Sensitivity decrease with probe diameter (SIMS) 8

9 Objective and Approach Investigate the fundamentals of the cleaning, rinsing, and drying of micro- and nano-structures Develop a novel metrology method for in-situ and realtime monitoring of the dynamics of impurity transport inside micro- and nano-structures. Apply the metrology method together with process modeling to discover operational strategies for lowering resource usage in the cleaning, rinsing, and drying of small structures. 9

10 Novel Hardware: Electro-Chemical Residue Sensor (ECRS) Key Features Real Time In-situ Online Hardware (ECRS) High Sensitivity Non-destructive Quick Response 10

11 Clean Chemistry Dependence of Rinse Sensor Output (% full scale) HCl Sulfate concentration (mol/m3) H 2 SO C (mol/m3) 2.95x x x x10-4 C (ppb) Time (min) Sensor shows different rinse dynamics for different chemicals 11

12 Software: Comprehensive Simulation of Poisson equation: Rinse Process Multi-component species transport equations : C i t C S 2 t = (D i C i + where charge density: Ohm s law: z Fµ C i i i Change in tank concentration : V C b t = Q(C C b ) + Surface adsorption and desorption: in 2 φ = A Flux ρ ε φ) = k a2 C 2 (S 02 C S 2 ) k C d 2 S 2 J =σ E ρ = F z i i C i E = 0 Convection Desorption Surface Charge Diffusion Surface reaction Ionic transport Convection/ Diffusion where electrical conductivity: σ = λ C i i i 12

13 Comparison of Experimental Data with Model Prediction: H 2 SO 4 Residual Impurity Measured as Magnitude of Impedance (kω) T (rinse water) = 49 ºC Experiment Simulation Time (sec) Residual Impurity Measured as Magnitude of Impedance (kω) x 10-3 M H 2 SO T (rinse water) = 32 ºC Experiment Simulation 2 x 10-3 M H 2 SO Time (sec) Accurate modeling of the surface cleanup during rinse is possible 13

14 Surface Concentration Profile Impurity Conc. in tank (mole/l) 3.E-05 2.E-05 1.E-05 0.E+00 Conventional technology Resistivity probe T (rinse water) = 32 ºC 1.15 x 10-3 M NH 4 OH Time (sec) Surface Conc. (1e 11 mole/cm 2 ) Novel technology ECRS T (rinse water) = 32 ºC 1.15 x 10-3 M NH 4 OH Time (sec) Conventional technology might provide misleading information ECRS provides in situ and real-time contamination profile 14

15 ECRS Application in Over-Flow and Quick- Dump Rinse Tools Joint work with Freescale and EMC* on development of new low-water rinse processes using ECRS and process modeling. Co-Investigators and Liaisons: Hsi-An Kwong, Marie Burnham, Tom Roche, Amy Belger, Stuart Searing, Georges Robert, and Andrew Hebda * EMC is a Engineering Research Center spin-off company that is formed for tech transfer and commercialization of ECRS technology 15

16 Sample Results: Optimization of Rinse Recipe in OFR/QDR Combination Initial overflow Multiple dumps ; overflows in-between Overflow Stage 1 Stage 2 Stage 3 Process Parameters Flow rates at different stages Time for every session of overflow rinse Number of quick dumps Water temperature at every session Collaboration with Freescale to optimize existing rinse recipes is ongoing. 16

17 Effect of the Number of Dumps on the 100 Post-APM Rinsing Initial overflow for 5 sec; overflow in between for 5 sec; flow rates are high flow at all stages; 32 ºC 80 Impedance (kω) Impedance (kohm) dumps 3D_5_0H 2 dumps 2D_5_0H 1D_5_0H 1 dump Time(sec) (sec) 17

18 18

19 ECRS Application in Single-Wafer Spin Rinse Joint work with Samsung and EMC* on analysis of the dynamic of spin rinse and development of new low-water rinse processes. Samsung Co-Investigators and Liaisons: Jeongnam Han, Seung-Ki Chae, Pil-Kwon Jun * EMC is a Engineering Research Center spin-off company that is formed for tech transfer and commercialization of ECRS technology 19

20 Application of ECRS to Single Wafer Rinsing and Drying RPM UPW UPW 300 mm wafer Experimental Setup Process Model Schematic A single wafer tool equipped with ECRS is designed and set up. Combination of experiments and process model is used to study the effect of various process parameters. 20

21 Monitoring Capabilities of ECRS Stage-1: Chemical Exposure Stage-2: Rinsing -Purging of the Trench Stage 3: Rinsing: Surface Reaction Stage-4: Bulk Drying Stage-5: Surface Drying 21

22 Process Model for Spin Rinsing Diffusion Fluid Dynamics Surface Reaction Electrostatic Field Electrokinetic Flow z Convection Wafer r Desorption Flow Boudary#2 Diffusion/ Convection Desorption Convection Boundary #3 2: Boundary layer Boundary #1 Boundary #10 Boundary #4 1: Trench Boundary #9 Boundary #5 H Boundary #8 Boundary #7 Boundary #6 Electrode SiO 2 22

23 Bulk s s Fluid Dynamics From the conditions that = << 1 z r h R Q: volumetric flow rate, m 3 /s υ: kinematic viscosity, m 2 /s r: radius of the wafer, m ω: angular velocity, rad/s u r Q. ν h = r ω ρω 2 rh 2 (1 (1 = 2µ 0.33 z h ) 2 ) I. Lesgev, G. Peev, Film flow on horizontal rotating disk, Chemical Engineering and Processing, vol. 42, 2003, pp

24 Surface Reaction Surface adsorption and desorption dc dt Cs: surface concentration K a : adsorption constant K d : desorption constant S = k ac 2(S SO 0 4 S 0 : maximum number of sites available for adsorption C S ) k d C S 24

25 Electrostatic Filed Dissociation of silanol group KSiOH SiOH SiO + H + Poisson s Equation 2 φ = = F i z ρ ε ρ where charge density: i C i F: Faraday constant, C/mol C i : ions concentration z i : ions valence 25

26 Electrokinetic Flow and Ohm s s Law Multi-component species transport equations C t i = (D i C i + z i F µ i C i φ) u C i Flow Boudary#2 Ohm s law: Boundary #1 2: Boundary layer Boundary #3 H J =σ E E = 0 Boundary #10 Boundary #9 1: Trench Boundary #4 Boundary #5 where electrical conductivity: Boundary #8 Boundary #7 Boundary #6 Electrode σ = i λ C i i SiO 2 26

27 Validation of Process Simulation Magnitude of Impedance (kω) Time (sec) 800 RPM, 20 GPH, N 2 Purging 40:1 Dilute Sulphuric Experimental Data Model Prediction Simulation results are in good agreement with the experimental data 27

28 Effect of Process Parameters Effect of Speed of Rotation Effect of Flow Rate Magnitude of Impedance (kω) GPH, N 2 Purging 40:1 Dilute Sulphuric 150 RPM 800 RPM 400 RPM 1200 RPM 1600 RPM Time (sec) 350 Magnitude of Impedance (kω) RPM, N 2 Purging 40:1 Dilute Sulphuric 6 GPH 16 GPH 10 GPH 20 GPH Time (sec) Gain in rinse efficiency diminishes as we reach an optimum speed of rotation and rinse-water flow rate. Speed of rotation and water flow rate can be optimized to reduce rinse time and increase throughput. 28

29 Effect of Process Parameters Magnitude of Impedance (kω) Effect of Rinse Water Temperature 800 RPM, N 2 purging 40:1 Dilute Sulfuric 32 0 C 43 0 C 52 0 C Time (sec) 350 Temperature has significant effect on enhancing both the early stage and the final stage of the rinse process. 29

30 Surface Concentration (ions/cm 2 ) 1.E13 1.E12 1.E11 1.E10 Benefits of Staged Rinsing Target Cleanliness RPM = 250 Hydrophilic Surface Flow Rate = 2.5 lit/min Time (sec) Post SC-1 1 Rinsing 50 0 C 32 0 C Staging temperature of UPW decreases rinse time without sacrificing cleanliness To reach 1.E12 ions/cm 2, staged rinsing leads to water savings of 40% for staged rinse 1 and 50% for staged rinse Flow Rate (lit/min) Flow Rate (lit/min) Flow Rate (lit/min) Warm Cold Cold Cold 120 Time (sec) Time (sec) Warm Time (sec)

31 Effect of Feature Size Rinse Time (sec) Rinse time to reach ions/cm 2 on the surface of the trench. 800 RPM, 20 GPH Mild Adsorption Strong Adsorption Very Strong Adsorption Trench Width (nm) 31

32 Effect of Wafer Size Rinse time to reach ions/cm 2 on the surface of the trench. 80 Positive ion, strong adsorption Rinse Time (sec) Trench width=100 nm Trench width=50 nm Wafer Size (mm) 32

33 Sensor Responses During Drying Z Z Drying Drying Drying 33

34 Indication of Drying Responses 34

35 Effect of Rinse Water Temperature on Magnitude of Impedance (kω) Drying 800 RPM, 20 GPH, N 2 Purging 40:1 Dilute Sulphuric Time (sec) 32 0 C 43 0 C 52 0 C Higher rinse water temperature leads to higher wafer temperature and therefore, faster drying. 35

36 Development of Low-Impact Cleaning Determining the Bottlenecks Using ECRS Etching creates residues on the sidewalls of the trenches and vias. Side wall cleaning becomes more complex, more difficult, and more resource intensive as we move further into manufacturing of highaspect-ratio nano-features No in-situ sensor is available for on-line monitoring of residues; ECRS would result in significant reduction in use of cleaning chemicals. Solvent Dissolved contaminants diffuse out Polymer layers Polymer dissolves in cleaning liquid Electrodes 36

37 Post-etch Cleaning of Micro- and Nano-Structures Magnitude of Impedance (kω) IPA followed by acetone Acetone followed by IPA Time (sec) High sensitivity and a detection of the end point 37

38 Cleaning of Micro- and Nano-Structures Magnitude of Impedance (kω) Hot DI water Rinse In Samsung Cleaning Solution Hot DI water Rinse Time (sec) 1200 ECRS can monitor cleaning of the polymer residue inside trench 38

39 Summary ECRS hardware was developed and fabricated. Developed comprehensive process simulator and verified it for both batch overflow rinsing and single-wafer spin rinsing; the simulator is applicable to rinsing and cleaning of fine structures on patterned wafers. Developed a method for integrating ECRS with single-wafer tools. Applied ECRS to determine the effect of key process parameters such as flow rate, rinse water temperature, and speed of rotation. Rinse and dry recipes can be optimized by applying the real-time and in-situ metrology using ECRS and the associated process simulator. The impact of feature size and wafer size was studied by ECRS. 39

40 Industrial Interactions and Future Plans Continue work on application and tech transfer: Joint work, co-sponsored by Freescale (Hsi-An Kwong, Marie Burnham, Tom Roche, Andrew Hebda); rinse process Joint work, co-sponsored by Samsung (Seung-Ki Chae, Jeong-Nam Han, Pil-Kwon Jun); cleaning and rinse processes EMC (Doug Goodman); commercialization Fundamental work on a novel wireless metrology version of ECRS Acknowledge to all the partners and co-workers 40

Kurita Water Industries Ltd. Takeo Fukui, Koji Nakata

Kurita Water Industries Ltd. Takeo Fukui, Koji Nakata Study of particle attachment on silicon wafers during rinsing Kurita Water Industries Ltd. Takeo Fukui, Koji Nakata Outline 1. Introduction 2. Experimental Method 1 3. Result & Discussion 1 4. Experimental

More information

Reducing Ultra-High-Purity (UHP) Gas Consumption By Characterization of Trace Contaminant Kinetic and Transport Behavior in UHP Fab Environments

Reducing Ultra-High-Purity (UHP) Gas Consumption By Characterization of Trace Contaminant Kinetic and Transport Behavior in UHP Fab Environments Reducing Ultra-High-Purity (UHP) Gas Consumption By Characterization of Trace Contaminant Kinetic and Transport Behavior in UHP Fab Environments Customized Project; Sponsored by Intel Graduate Students

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Ion Concentration and Electromechanical Actuation Simulations of Ionic Polymer-Metal Composites

Ion Concentration and Electromechanical Actuation Simulations of Ionic Polymer-Metal Composites October 5-7, 2016, Boston, Massachusetts, USA Ion Concentration and Electromechanical Actuation Simulations of Ionic Polymer-Metal Composites Tyler Stalbaum, Qi Shen, and Kwang J. Kim Active Materials

More information

MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS. The University of Arizona, Tucson

MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS. The University of Arizona, Tucson MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS Manish Keswani 1, Srini Raghavan 1, Pierre Deymier 1 and Steven Verhaverbeke 2 1 The University

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

EXPERIMENT 2 DETERMINATION OF K a USING THE CONDUCTANCE METHOD

EXPERIMENT 2 DETERMINATION OF K a USING THE CONDUCTANCE METHOD EXPERIMENT 2 DETERMINATION OF K a USING THE CONDUCTANCE METHOD Introduction Equilibrium Processes When a pure sample of liquid-state acetic acid (i.e., CH 3 COOH (l) /HAc (l) ) is added to a beaker of

More information

NANO AND MICROSCALE PARTICLE REMOVAL

NANO AND MICROSCALE PARTICLE REMOVAL NANO AND MICROSCALE PARTICLE REMOVAL Ahmed A. Busnaina William Lincoln Smith Professor and Director of the oratory Northeastern University, Boston, MA 2115-5 OUTLINE Goals and Objectives Approach Preliminary

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 Supporting Information Graphene transfer method 1 : Monolayer graphene was pre-deposited on both

More information

RCA cleaning: SC1, SC2, DHF, BOE, SPM

RCA cleaning: SC1, SC2, DHF, BOE, SPM Application Note Analyze IT Wet Process Analyzer RCA cleaning: SC1, SC2, DHF, BOE, SPM Quality, throughput, and cost reduction are objectives that drive the semiconductor manufacturing industry. Since

More information

Spring Lecture 4 Contamination Control and Substrate Cleaning. Nanometer Scale Patterning and Processing

Spring Lecture 4 Contamination Control and Substrate Cleaning. Nanometer Scale Patterning and Processing Nanometer Scale Patterning and Processing Spring 2016 Lecture 4 Contamination Control and Substrate Cleaning Contaminants A substance causes uncontrolled variations in the (electrical) performance of the

More information

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Abstract Engineering Systems follow recognized trends of evolution; the main parameters

More information

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy)

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) *Kye Hyun Baek, Gopyo Lee, Yong Woo Lee, Gyung-Jin Min, Changjin Kang, Han-Ku

More information

Evaluation of Cleaning Methods for Multilayer Diffraction Gratings

Evaluation of Cleaning Methods for Multilayer Diffraction Gratings Evaluation of Cleaning Methods for Multilayer Diffraction Gratings Introduction Multilayer dielectric (MLD) diffraction gratings are essential components for the OMEGA EP short-pulse, high-energy laser

More information

Supplementary Figure 1. Characterization of the effectiveness of ion transport in CNT aerogel sheets. (a)

Supplementary Figure 1. Characterization of the effectiveness of ion transport in CNT aerogel sheets. (a) Supplementary Figures Supplementary Figure 1. Characterization of the effectiveness of ion transport in CNT aerogel sheets. (a) Schematic drawing of experimental setup for measuring mass transfer coefficient.

More information

TECHCET s Review of Sematech s Surface Preparation & Cleaning Conference Saratoga Springs, NY, May 12-14, 2015 Part 1 of 2

TECHCET s Review of Sematech s Surface Preparation & Cleaning Conference Saratoga Springs, NY, May 12-14, 2015 Part 1 of 2 TECHCET s Review of Sematech s Surface Preparation & Cleaning Conference Saratoga Springs, NY, May 12-14, 2015 Part 1 of 2 Presentations from SPCC related to the latest Wet Cleaning / Etching / Surface

More information

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco Structuring and bonding of glass-wafers Dr. Anke Sanz-Velasco Outline IMT Why glass? Components for life science Good bond requirements and evaluation Wafer bonding 1. Fusion bonding 2. UV-adhesive bonding

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Japan. Keywords: wet etching, nanoscale region, dhf (dilute hydrofluoric acid solution), electric double layer, solid-liquid interface

Japan. Keywords: wet etching, nanoscale region, dhf (dilute hydrofluoric acid solution), electric double layer, solid-liquid interface Solid State Phenomena Online: 24926 ISSN: 6629779, Vol. 29, pp 58 doi:.428/www.scientific.net/ssp.29.5 25 Trans Tech Publications, Switzerland Impact of electrostatic effects on wet etching phenomenon

More information

Convective Mass Transfer

Convective Mass Transfer Convective Mass Transfer Definition of convective mass transfer: The transport of material between a boundary surface and a moving fluid or between two immiscible moving fluids separated by a mobile interface

More information

Development of an Automatic Sampling Module to Monitor Concentration of Liquid-Borne Nanoparticles

Development of an Automatic Sampling Module to Monitor Concentration of Liquid-Borne Nanoparticles Sensors and Materials, Vol. 28, No. 6 (2016) 625 630 MYU Tokyo 625 S & M 1217 Development of an Automatic Sampling Module to Monitor Concentration of Liquid-Borne Nanoparticles Yu-Shan Yeh, * Kaoru Kondo,

More information

Study of static electricity in wafer cleaning process M. Wada 1a, T. Sueto 1b, H. Takahashi 1c, N. Hayashi 1d, and A. Eitoku 1e

Study of static electricity in wafer cleaning process M. Wada 1a, T. Sueto 1b, H. Takahashi 1c, N. Hayashi 1d, and A. Eitoku 1e Solid State Phenomena Vol. 134 (28) pp 263266 Online available since 27/Nov/2 at www.scientific.net (28) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.134.263 Study of static electricity

More information

The Removal of Nanoparticles from Nanotrenches Using Megasonics

The Removal of Nanoparticles from Nanotrenches Using Megasonics NSF Center for Micro and Nanoscale Contamination Control The Removal of Nanoparticles from Nanotrenches Using Megasonics Pegah Karimi 1, Tae Hoon Kim 1, Ahmed A. Busnaina 1 and Jin Goo Park 2 1 NSF Center

More information

Experiment 1C. The Rotating Ring-Disk Electrode

Experiment 1C. The Rotating Ring-Disk Electrode Experiment 1C The Rotating Ring-Disk Electrode Experiment Overview When one sets the potential of an electrode away from the equilibrium potential, a current flows. The amount a potential deviates away

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Feed-Forward Control for Advanced Chemical Blending. Gary Anderson, Klaus Wolke Tres-Ark, Inc. Austin, TX

Feed-Forward Control for Advanced Chemical Blending. Gary Anderson, Klaus Wolke Tres-Ark, Inc. Austin, TX Feed-Forward Control for Advanced Chemical Blending Gary Anderson, Klaus Wolke Tres-Ark, Inc. Austin, TX The Challenge Electrical Section Critical cleaning steps require better control and stability of

More information

Mass Transfer Coefficients (MTC) and Correlations II

Mass Transfer Coefficients (MTC) and Correlations II Mass Transfer Mass Transfer Coefficients (MTC) and Correlations II 7.2- Correlations of Mass Transfer Coefficients Mass transfer coefficients (MTCs) are not physical properties like the diffusion coefficient.

More information

Real-Time Chemical Sensing for Advanced Process Control in ALD

Real-Time Chemical Sensing for Advanced Process Control in ALD Real-Time Chemical Sensing for Advanced Process Control in ALD Gary W. Rubloff 1, Laurent Henn-Lecordier 2, and Wei Lei 3 University of Maryland 1 Director, Maryland Center for Integrated Nano Science

More information

Dielectric constant measurement of P3HT, polystyrene, and polyethylene

Dielectric constant measurement of P3HT, polystyrene, and polyethylene Dielectric constant measurement of P3HT, polystyrene, and polyethylene Supervisor: prof. dr. J.C. Hummelen Daily supervisor: Jenny Douvogianni Name: Si Chen (s2660482) 1. Introduction Dielectric constant

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a Solid State Phenomena Vols. 14-146 (29) pp 249-22 Online available since 29/Jan/6 at www.scientific.net (29) Trans Tech Publications, Switzerland doi:.428/www.scientific.net/ssp.14-146.249 Evaluation of

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Identification and Quantification of Impurities Critical to the Performance of Nitride Semiconductor Devices

Identification and Quantification of Impurities Critical to the Performance of Nitride Semiconductor Devices Identification and Quantification of Impurities Critical to the Performance of Nitride Semiconductor Devices R. Torres*, J. Vininski, C. Wyse, Advanced Technology Center, Matheson-Trigas, Inc., Longmont,

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Model 2300XP PSL & Process-Particle Wafer Deposition System

Model 2300XP PSL & Process-Particle Wafer Deposition System Model 2300XP PSL & Process-Particle Wafer Deposition System Deposit PSL spheres on wafers to create NISTtraceable PSL size standards for - calibrating wafer inspection systems - providing fab-wide and

More information

Determination of zeta potential by means of a rotating disk: planar, particulate, and porous samples!

Determination of zeta potential by means of a rotating disk: planar, particulate, and porous samples! Determination of zeta potential by means of a rotating disk: planar, particulate, and porous samples! Paul J Sides! Zetametrix Inc! 5821 Kentucky Avenue! Pittsburgh, PA 15232! 1! ZetaSpin: universal tool

More information

Lecture 7. Sorption-Separation Equipment

Lecture 7. Sorption-Separation Equipment Lecture 7. Sorption-Separation Equipment Adsorption - Stirred-tank, slurry operation - Cyclic fixed-bed batch operation - Thermal (temperature)-swing adsorption - Fluidizing bed for adsorption and moving

More information

Kentaro INOUE. Introduction. Measurement principle (membrane polarographic method)

Kentaro INOUE. Introduction. Measurement principle (membrane polarographic method) FFeature Article Article Performance of the Dissolved Oxygen Monitor Used in the Semiconductor Wet Process; Low Concentration Monitoring, High Temperature, Small Amount of Sampling Volume, Chemical Resistance

More information

FEATURE ARTICLE. The CS-100 Series High-precision Chemical Solution Monitor in the Semiconductor Cleaning Process. Takaaki Yada.

FEATURE ARTICLE. The CS-100 Series High-precision Chemical Solution Monitor in the Semiconductor Cleaning Process. Takaaki Yada. FEATURE ARTICLE The CS-100 Series High-precision Chemical Solution Monitor in the Semiconductor Cleaning Process Takaaki Yada Cleaning Cleaning Cleaning Cleaning Wet process Wet Etching process SPM Organic

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

Hybrid Wafer Level Bonding for 3D IC

Hybrid Wafer Level Bonding for 3D IC Hybrid Wafer Level Bonding for 3D IC An Equipment Perspective Markus Wimplinger, Corporate Technology Development & IP Director History & Roadmap - BSI CIS Devices???? 2013 2 nd Generation 3D BSI CIS with

More information

Control of the fabrication process for the sensors of the CMS Silicon Strip Tracker. Anna Macchiolo. CMS Collaboration

Control of the fabrication process for the sensors of the CMS Silicon Strip Tracker. Anna Macchiolo. CMS Collaboration Control of the fabrication process for the sensors of the CMS Silicon Strip Tracker Anna Macchiolo Universita di Firenze- INFN Firenze on behalf of the CMS Collaboration 6 th International Conference on

More information

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Muthappan Asokan, Oliver Chyan*, Interfacial Electrochemistry and Materials Research Lab, University of North Texas

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

VI. EIS STUDIES LEAD NANOPOWDER

VI. EIS STUDIES LEAD NANOPOWDER VI. EIS STUDIES LEAD NANOPOWDER 74 26. EIS Studies of Pb nanospheres Impedance (valid for both DC and AC), a complex resistance occurs when current flows through a circuit (composed of various resistors,

More information

Nanomaterials and Chemistry Key Laboratory, Wenzhou University, Wenzhou, (P. R. China).

Nanomaterials and Chemistry Key Laboratory, Wenzhou University, Wenzhou, (P. R. China). Electronic Supplementary Material (ESI) for Nanoscale Synergistically enhanced activity of graphene quantum dot/multi-walled carbon nanotube composites as metal-free catalysts for oxygen reduction reaction

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Electrodeposition of charged particles onto fuel cell coolant channel walls

Electrodeposition of charged particles onto fuel cell coolant channel walls Electrodeposition of charged particles onto fuel cell coolant channel walls 1. Introduction The rapid development of fuel cells has been a matter of great importance in the last two decades because they

More information

Novel Bonding Technology for Hermetically Sealed Silicon Micropackage

Novel Bonding Technology for Hermetically Sealed Silicon Micropackage Jpn. J. Appl. Phys. Vol. 38 (1999) pp. 1 6 Part 1, No. 1A, January 1999 c 1999 Publication Board, Japanese Journal of Applied Physics Novel Bonding Technology for Hermetically Sealed Silicon Micropackage

More information

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS Christian L. Petersen, Rong Lin, Dirch H. Petersen, Peter F. Nielsen CAPRES A/S, Burnaby, BC, Canada CAPRES A/S, Lyngby, Denmark We

More information

Protocol Particle size distribution by centrifugal sedimentation (CPS)

Protocol Particle size distribution by centrifugal sedimentation (CPS) Protocol Particle size distribution by centrifugal sedimentation (CPS) 1. Method The CPS Disc Centrifuge separates particles by size using centrifugal sedimentation in a liquid medium. The sedimentation

More information

Deposition of volatile chlorohydric acid on Copper wafer depending on humidity and HCl airborne concentration

Deposition of volatile chlorohydric acid on Copper wafer depending on humidity and HCl airborne concentration 2018 SPCC Surface Preparation and Cleaning Conference Minh-Phuong TRAN, CEA-Leti, minh-phuong.tran@cea.fr Hervé FONTAINE, CEA-Leti, herve.fontaine@cea.fr Paola GONZÁLEZ-AGUIRRE, Entegris, paola.gonzalez@entegris.com

More information

Phone: , For Educational Use. SOFTbank E-Book Center, Tehran. Fundamentals of Heat Transfer. René Reyes Mazzoco

Phone: , For Educational Use. SOFTbank E-Book Center, Tehran. Fundamentals of Heat Transfer. René Reyes Mazzoco 8 Fundamentals of Heat Transfer René Reyes Mazzoco Universidad de las Américas Puebla, Cholula, Mexico 1 HEAT TRANSFER MECHANISMS 1.1 Conduction Conduction heat transfer is explained through the molecular

More information

Shorten your Time-to-Result

Shorten your Time-to-Result Shorten your Time-to-Result Effective quality and process control requires the shortest time-to-result possible. This is the time needed from sampling to the final quantitative result. Any advantage results

More information

Hopping in CVD Grown Single-layer MoS 2

Hopping in CVD Grown Single-layer MoS 2 Supporting Information for Large Thermoelectricity via Variable Range Hopping in CVD Grown Single-layer MoS 2 Jing Wu 1,2,3, Hennrik Schmidt 1,2, Kiran Kumar Amara 4, Xiangfan Xu 5, Goki Eda 1,2,4, and

More information

Laboratory instruction SENSOR DEVICES

Laboratory instruction SENSOR DEVICES Laboratory instruction SENSOR DEVICES Examination: It is compulsory to attend the laboratory work. A set of given questions should be answered and should be handed in by each lab group at the end of the

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Laboratory instruction SENSOR DEVICES

Laboratory instruction SENSOR DEVICES Laboratory instruction SENSOR DEVICES Examination: It is compulsory to attend the laboratory work. A set of given questions should be answered and should be handed in by each lab group at the end of the

More information

Cleaning Surfaces from Nanoparticles with Polymer Film: Impact of the Polymer Stripping

Cleaning Surfaces from Nanoparticles with Polymer Film: Impact of the Polymer Stripping Cleaning Surfaces from Nanoparticles with Polymer Film: Impact of the Polymer Stripping A. LALLART 1,2,3,4, P. GARNIER 1, E. LORENCEAU 2, A. CARTELLIER 3, E. CHARLAIX 2 1 STMICROELECTRONICS, CROLLES, FRANCE

More information

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS George Adams, Ahmed A. Busnaina and Sinan Muftu the oratory Mechanical, Industrial, and Manufacturing Eng. Department Northeastern University, Boston,

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution

Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution Supporting Information Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution Zhongbo Yan, Ming Xia, Pei Zhang, and Ya-Hong Xie* Department of

More information

Thermal Sensors and Actuators

Thermal Sensors and Actuators Thermal Sensors and Actuators Part I Fundamentals of heat transfer Heat transfer occurs where there is a temperature gradient until an equilibrium is reached. Four major mechanism Thermal conduction Natural

More information

Performance Evaluation of Polymers for Bumping and Wafer Level Packaging

Performance Evaluation of Polymers for Bumping and Wafer Level Packaging Performance Evaluation of Polymers for Bumping and Wafer Level Packaging John JH Reche 945 E. Verde Lane, Tempe, AZ 85284 jjhreche@wafer-bumping.com 11th Symposium on Wintherthur Museum and Gardens, DE

More information

Silicate bonding for stable optical systems for space

Silicate bonding for stable optical systems for space Silicate bonding for stable optical systems for space S. Rowan 1, J. Bogenstahl 2, A. Deshpande 3, E. Elliffe 1, J. Hough 1, C. Killow 1, S. Reid 1, D. Robertson 1, H. Ward 1 1 University of Glasgow 2

More information

Structure and Characterization of Polystyrene Thin Films

Structure and Characterization of Polystyrene Thin Films Structure and Characterization of Polystyrene Thin Films Jeffrey L. Ellis 1, Hans G. L. Coster 2, Terry C. Chilcott 2, David L. Tomasko 1*, Fariba Dehghani 2 1 Department of Chemical and Biomolecular Engineering,

More information

SurPASS. Electrokinetic Analyzer for Solid Samples. ::: Innovation in Materials Science

SurPASS. Electrokinetic Analyzer for Solid Samples. ::: Innovation in Materials Science SurPASS Electrokinetic Analyzer for Solid Samples ::: Innovation in Materials Science SurPASS For Solid Surface Analysis The SurPASS electrokinetic analyzer helps material scientists to improve surface

More information

The Mechanism of Electropolishing of Nb in Hydrofluoric-Sulfuric Acid (HF+H 2 SO 4 ) Electrolyte

The Mechanism of Electropolishing of Nb in Hydrofluoric-Sulfuric Acid (HF+H 2 SO 4 ) Electrolyte The Mechanism of Electropolishing of Nb in Hydrofluoric-Sulfuric Acid (HF+H 2 SO 4 ) Electrolyte Hui Tian *+, Charles E. Reece +, Michael J. Kelley *+ Applied Science Department, College of William and

More information

Direct Energy Conversion: Fuel Cells

Direct Energy Conversion: Fuel Cells Direct Energy Conversion: Fuel Cells References and Sources: Direct Energy Conversion by Stanley W. Angrist, Allyn and Beacon, 1982. Fuel Cell Systems, Explained by James Larminie and Andrew Dicks, Wiley,

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Modeling the next battery generation: Lithium-sulfur and lithium-air cells

Modeling the next battery generation: Lithium-sulfur and lithium-air cells Modeling the next battery generation: Lithium-sulfur and lithium-air cells D. N. Fronczek, T. Danner, B. Horstmann, Wolfgang G. Bessler German Aerospace Center (DLR) University Stuttgart (ITW) Helmholtz

More information

MOCVD Carrier Emissivity and Temperature Uniformity Characterization

MOCVD Carrier Emissivity and Temperature Uniformity Characterization Carrier emissivity and temperature maps reveal carrier micro cracks and emissivity variation that can directly affect thin-film deposition and device performance Introduction and Motivation MOCVD wafer

More information

Eye on Ions: Electrical Conductivity of Aqueous Solutions

Eye on Ions: Electrical Conductivity of Aqueous Solutions Eye on Ions: Electrical Conductivity of Aqueous Solutions Pre-lab Assignment: Reading: 1. Chapter sections 4.1, 4.3, 4.5 and 4.6 in your course text. 2. This lab handout. Questions: 1. Using table 1 in

More information

The current density in a material is generally given by

The current density in a material is generally given by 1 Sidsel Trætteberg, 1 Erling Ildstad, 2 Rolf Hegerberg 1 Norwegian University of Science and Technology (NTNU), Trondheim, Norway. 2 Sintef Energiforskning AS, Trondheim, Norway The use of extruded polymers

More information

Optimal molecular design of poly (ionic liquids) for CO2 capture from the atmosphere

Optimal molecular design of poly (ionic liquids) for CO2 capture from the atmosphere Engineering Conferences International ECI Digital Archives CO2 Summit II: Technologies and Opportunities Proceedings Spring 4-13-2016 Optimal molecular design of poly (ionic liquids) for CO2 capture from

More information

Water Desorption from Metallic Surfaces at Room Temperature

Water Desorption from Metallic Surfaces at Room Temperature Water Desorption from Metallic Surfaces at Room emperature Ben Petroski Livonia High School LLE Advisor: Dr. Walter Shmayda March 2010 1 Abstract Water adsorption and desorption is a major issue in industries

More information

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist. Technical Report ZEP52 ZEP52 Ver.1.2 Mar.21 ZEONREX Electronic Chemicals High Resolution Positive Electron Beam Resist ZEP52 ZEON CORPORATION Specialty Materials Division Headquarters R&D Center Furukawa

More information

ICP-MS Analysis of Bulk & Process Chemicals for Semiconductor Processes. Ann O Connell Chemical Analysis Eng Intel Corporation

ICP-MS Analysis of Bulk & Process Chemicals for Semiconductor Processes. Ann O Connell Chemical Analysis Eng Intel Corporation ICPMS Analysis of Bulk & Process Chemicals for Semiconductor Processes Ann O Connell Chemical Analysis Eng Intel Corporation 1 Overview of Presentation Impact of Trace Metal contamination on Semiconductor

More information

Design, Analysis and Fabrication of a Microflexural AND Gate

Design, Analysis and Fabrication of a Microflexural AND Gate Design, Analysis and Fabrication of a Microflexural AND Gate Abhishek Modi 1, Himani Shah 1*, C. Amarnath 1, P. S. Gandhi 1, S. G. Singh 2, and Ritu Rashmi 2 1 Department of Mechanical Engineering, Indian

More information

Supplementary materials for: Large scale arrays of single layer graphene resonators

Supplementary materials for: Large scale arrays of single layer graphene resonators Supplementary materials for: Large scale arrays of single layer graphene resonators Arend M. van der Zande* 1, Robert A. Barton 2, Jonathan S. Alden 2, Carlos S. Ruiz-Vargas 2, William S. Whitney 1, Phi

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Chemistry Instrumental Analysis Lecture 23. Chem 4631

Chemistry Instrumental Analysis Lecture 23. Chem 4631 Chemistry 4631 Instrumental Analysis Lecture 23 A measure of how well a solution conducts electricity Water with absolutely no impurities (does not exist) Conducts electricity very poorly Impurities in

More information

Modelling a Resonant Near Field Scanning Microwave Microscope (RNSMM) Probe

Modelling a Resonant Near Field Scanning Microwave Microscope (RNSMM) Probe Modelling a Resonant Near Field Scanning Microwave Microscope (RNSMM) Probe Nadia Smith, Kevin Lees, Andrew Gregory, Robert Clarke NPL, National Physical Laboratory, UK 18 th September 2014 EMINDA Electromagnetic

More information

C-Therm TCi Principles of Operation Introduction

C-Therm TCi Principles of Operation Introduction Fax: (506) 454-70 C-Therm TCi Principles of Operation Introduction The third generation of the technology expands the capabilities of this rapid, non-destructive testing instrumentation originally developed

More information

Well resolved measurements of turbulent fluxes in the atmospheric surface layer

Well resolved measurements of turbulent fluxes in the atmospheric surface layer Well resolved measurements of turbulent fluxes in the atmospheric surface layer M. Hultmark, G. Arwatz, M. Vallikivi, Y. Fan and C. Bahri Princeton University Department of Mechanical and Aerospace Engineering

More information

dissolved into methanol (20 ml) to form a solution. 2-methylimidazole (263 mg) was dissolved in

dissolved into methanol (20 ml) to form a solution. 2-methylimidazole (263 mg) was dissolved in Experimental section Synthesis of small-sized ZIF-8 particles (with average diameter of 50 nm): Zn(NO 3 ) 2 (258 mg) was dissolved into methanol (20 ml) to form a solution. 2-methylimidazole (263 mg) was

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/content/351/6271/361/suppl/dc1 Supplementary Materials for Active sites of nitrogen-doped carbon materials for oxygen reduction reaction clarified using model catalysts Donghui Guo,

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

Number of pages in the question paper : 05 Number of questions in the question paper : 48 Modeling Transport Phenomena of Micro-particles Note: Follow the notations used in the lectures. Symbols have their

More information

Techniques for Fast Measurements of Low Dewpoint in Portable Hygrometers

Techniques for Fast Measurements of Low Dewpoint in Portable Hygrometers Techniques for Fast Measurements of Low Dewpoint in Portable Hygrometers Speaker / Author: Nick Malby, Michell Instruments Ltd, 48 Lancaster Way Business Park, Ely, Cambridgeshire. CB6 3NW. UK. +44 1353

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information