Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design

Size: px
Start display at page:

Download "Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design"

Transcription

1 Outline Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design Bei Yu 1 Sheqin Dong 1 Yuchun Ma 1 Tao Lin 1 Yu Wang 1 Song Chen 2 Satoshi GOTO 2 1 Department of Computer Science & Technology Tsinghua University, Beijing, China 2 Graduate School of IPS Waseda University, Kitakyushu, Japan

2 Outline Outline 1 Introduction Previous Works Problem Formulation 2 3

3 Retiming and Slack Budgeting Previous Works Problem Formulation Timing constraint and Low Power become significant requirement. Retiming: relocate flip-flops (FFs) Slack Budgeting: relax the timing constraints of components 6,0 b 6,0 c T=9 3,0 d 6,0 b 6,0 c T=9 3,3 d a e a e 3,0 3,0 v delay, slack 3,0 3,0 v delay, slack

4 Previous Works Introduction Previous Works Problem Formulation Retiming: [C.E.Leiserson et al. Algorithmica 1991]: first work [N. Maheshwari et al. TCAD 1998]: flow based Min-area retiming [H. Zhou, ASPDAC 2005]: incremental Min-period retiming [J. Wang & H. Zhou DAC 2008]: incremental Min-period retiming Slack Budgeting: [R. Nair et al. TCAD 1989]: ZSA, suboptimal heuristic [C. Chen et al. TCAD 2002]: Maximum-Independent-Set, NP-complete [S.Ghiasi et al. ICCAD 2004]: Flow based algorithm

5 Previous Works (Cont.) Previous Works Problem Formulation Retiming + Slack Budgeting: [Y. Hu et al. DAC 2006]: dual-vdd, MILP [S. Liu et al. ASPDAC 2010]: heuristic; MIS based In previous works: A few works consider simultaneous Retiming and Slack Budgeting MILP method or heuristic method In our works: Network-Based Algorithm Speedup

6 Problem Formulation Previous Works Problem Formulation Input: Directed graph G = (V, E, d, w) as synchronous sequential circuit. i V : combinational gate e ij E: signal passing from gate i to j d i : delay of gate i w ij : number of FF on edge e ij period constraint T power-slack tradeoff for each slack level Output: reallocation represented by r, so minimize power consumption under the period constraint

7 Introduction Condition for Φ(G) T a i d i + s i a i T r i r j w ij a j a i + d i + s i Suppose R i = r i + a i /T a i = T R i T r i. i V i V (i, j) E if r i r j = w ij min P( s i ) (II) i V s.t. R i r i s i i V (IIa) R i r i T i V (IIb) r j r i T w ij (i, j) E (IIc) 0 R i, r i N ff i V (IId) s i = { s 1 i,, s k i } i V (IIe) 0 s i T i V (IIf ) R j R i t ij (i, j) E (IIg) t ij s j T w ij (i, j) E (IIh)

8 (cont.) Solved by ILP Solver, but unacceptable runtime Need more effective method Without two constraints, convex cost dual network algorithm [R. K. Ahuja et al. 2003] Removes constraint (IIh), add penalty function P(tij): Generate new problem (III) min P( s i ) (II) i V s.t. R i r i s i i V (IIa) R i r i T i V (IIb) r j r i T w ij (i, j) E (IIc) 0 R i, r i N ff i V (IId) s i = { s 1 i,, s k i } i V (IIe) 0 s i T i V (IIf ) R j R i t ij (i, j) E (IIg) t ij s j T w ij (i, j) E (IIh)

9 (cont.) Solved by ILP Solver, but unacceptable runtime Need more effective method Without two constraints, convex cost dual network algorithm [R. K. Ahuja et al. 2003] Removes constraint (IIh), add penalty function P(tij): Generate new problem (III) min P( s i ) (II) i V s.t. R i r i s i i V (IIa) R i r i T i V (IIb) r j r i T w ij (i, j) E (IIc) 0 R i, r i N ff i V (IId) s i = { s 1 i,, s k i } i V (IIe) 0 s i T i V (IIf ) R j R i t ij (i, j) E (IIg) t ij s j T w ij (i, j) E (IIh)

10 (cont.) min i V P( s i ) + P(t ij ) (i,j) E s.t. (IIa) (IIg) t ij T w ij, (i, j) E (III) min P( s i ) (II) i V s.t. R i r i s i i V (IIa) R i r i T i V (IIb) r j r i T w ij (i, j) E (IIc) 0 R i, r i N ff i V (IId) Given solutions of (III), heuristic generate solution of (II): s j = min(t ij + T w ij, s j ), i FI(j) s i = { s 1 i,, s k i } i V (IIe) 0 s i T i V (IIf ) R j R i t ij (i, j) E (IIg) t ij s j T w ij (i, j) E (IIh)

11 Denote si where P( s i ) is minimum Define Q( s i ): Q( s i ) = { P( s i ) if s i s i P( s i ) if s i > s i Consider new problem (III ), which replaces (IIa) and (IIb) by R i r i = s i min Q( s i ) + P(t ij ) i V (i,j) E (III ) s.t. (IIc) (IIg) R i r i = s i i V t ij T w ij (i, j) E min P( s i ) + P(t ij ) i V (i,j) E s.t. (IIa) (IIg) t ij T w ij, (i, j) E (III) Theorem 1 For every optimal solution ( R, r, s) of problem (III), there is an optimal solution ( R, r, ŝ) of problem (III ), and the converse also holds. Theorem 2 The constraint (IIb) in problem (III) can be removed.

12 Denote si where P( s i ) is minimum Define Q( s i ): Q( s i ) = { P( s i ) if s i s i P( s i ) if s i > s i Consider new problem (III ), which replaces (IIa) and (IIb) by R i r i = s i min Q( s i ) + P(t ij ) i V (i,j) E (III ) s.t. (IIc) (IIg) R i r i = s i i V t ij T w ij (i, j) E min P( s i ) + P(t ij ) i V (i,j) E s.t. (IIa) (IIg) t ij T w ij, (i, j) E (III) Theorem 1 For every optimal solution ( R, r, s) of problem (III), there is an optimal solution ( R, r, ŝ) of problem (III ), and the converse also holds. Theorem 2 The constraint (IIb) in problem (III) can be removed.

13 Primal Network Flow Problem Solve problem (III) by Convex Cost Dual Flow a : tep 1: Transformation to Primal Network Flow Problem 1 Split vertex i into two vertex r i and R i 3 4 ( r i, R i ) Ē1, ( R i, R j ) Ē2, ( r i, r j ) Ē3 Further simplify problem as follow: 2 min (i,j) Ē P(s ij ) (IV ) r1 R1 r4 s.t. µ j µ i s ij (i, j) Ē (IVa) r3 R3 R4 0 µ i N ff i V (IVb) l ij s ij u ij (i, j) Ē (IVc) r2 R2 E1 E2 E3 a Refer to [R. K. Ahuja et al. 2003] for detail about Convex Cost Dual Flow.

14 Primal Network Flow Problem (cont.) Step 1: Transformation to Primal Network Flow Problem (cont.) min (i,j) Ē P(s ij ) (IV ) s.t. µ j µ i s ij (i, j) Ē (IVa) 0 µ i N ff i V (IVb) l ij s ij u ij (i, j) Ē (IVc) Remove constraints by P(s ij ) and B(µ i ) P(s ij ) = P(u ij ) + M(s ij u ij ) s ij > u ij P(s ij ) P(l ij ) M(s ij l ij ) 0 s i T s ij < l ij B(µ i ) = M (µ i N ff ) if µ i > N ff 0 if 0 µ i N ff M µ i if µ i < 0 Get Primal Network Flow Problem: min B(µ i ) (V ) (i,j) Ē P(s ij ) + i V s.t. µ j µ i s ij (i, j) Ē

15 Primal Network Flow Problem (cont.) Step 1: Transformation to Primal Network Flow Problem (cont.) min (i,j) Ē P(s ij ) (IV ) s.t. µ j µ i s ij (i, j) Ē (IVa) 0 µ i N ff i V (IVb) l ij s ij u ij (i, j) Ē (IVc) Remove constraints by P(s ij ) and B(µ i ) P(s ij ) = P(u ij ) + M(s ij u ij ) s ij > u ij P(s ij ) P(l ij ) M(s ij l ij ) 0 s i T s ij < l ij B(µ i ) = M (µ i N ff ) if µ i > N ff 0 if 0 µ i N ff M µ i if µ i < 0 Get Primal Network Flow Problem: min B(µ i ) (V ) (i,j) Ē P(s ij ) + i V s.t. µ j µ i s ij (i, j) Ē

16 Lagrangian Relaxation Step 2: Lagrangian Relaxation Lagrangian relaxation to eliminate constraints Lagrangian sub-problem: L( x) = P(s ij ) + B i (µ i ) i V e(i,j) Ē (µ j µ i s ij )x ij e(i,j) Ē Introduce start node v 0 Final Lagrangian subproblem: L( x) = min [P ij (s ij ) + x ij s ij ] (1) s.t. x ij e(i,j) E j:e(i,j) E j:e(j,i) E x ji = 0 i V x ij 0 (i, j) E 1 E 2 E 3

17 Convex Cost-scaling Approach Step 3: Convex Cost-scaling Approach Define function H ij (x ij ) = min sij {P ij (s ij ) + x ij s ij }: H ij (x ij ) is concave, so C ij (x ij ) = H ij (x ij ) is convex Final problem is a min-cost flow problem: L( x) = min C ij (x ij ) e(i,j) E s.t. x ij x ji = 0 j:e(i,j) E j:e(j,i) E i V (VI) 0 x ij M (i, j) E 1 E 2 E 3 M x ij M (i, j) E 4 For optimal flow x, construct residual network G(x ) In G(x ), solve shortest path distance d(i) Apply µ(i) = d(i) and s ij = µ(i) µ(j) Final solve the problem!!

18 Experiments Setup Implemented in C++ 3.0GHz CPU and 6GB Memory 19 cases from the ISCAS89 Case Name Gate # Edges # Max Output Max Inputs Tmin s27.test s208.1.test s298.test s382.test s386.test s344.test s349.test s444.test s526.test s526n.test s510.test s420.1.test s832.test s820.test s641.test s713.test s838.1.test s1238.test s1488.test

19 Results for Power Consumption and Total Slacks Benchmark T Power Consumption Total Slacks Optimal ILP [18] 1 ours Optimal ILP [18] ours s27.test s208.1.test s298.test s382.test s386.test s344.test s349.test s444.test s526.test s526n.test s510.test s420.1.test s832.test s820.test s641.test s713.test s838.1.test s1238.test s1488.test Avg Diff % +29% 1-31% -16% 1 S.Liu et al., Simultaneous slack budgeting and retiming for synchronous circuits optimization, ASPDAC 2010

20 Results for Runtime: Benchmark T Runtime(s) Optimal ILP [18] ours s27.test s208.1.test s298.test s382.test 44 > s386.test s344.test s349.test s444.test 46 > s526.test s526n.test s510.test 42 > s420.1.test s832.test s820.test s641.test s713.test s838.1.test s1238.test s1488.test 166 > Avg Diff

21 Thank You!

Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design

Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design Network Flow-based Simultaneous Retiming and Slack Budgeting for Low Power Design Bei Yu, Sheqin Dong, Yuchun Ma, Tao Lin,YuWang,Song Chen and Satoshi Goto TNList, Department of Computer Science & Technology,

More information

iretilp : An efficient incremental algorithm for min-period retiming under general delay model

iretilp : An efficient incremental algorithm for min-period retiming under general delay model iretilp : An efficient incremental algorithm for min-period retiming under general delay model Debasish Das, Jia Wang and Hai Zhou EECS, Northwestern University, Evanston, IL 60201 Place and Route Group,

More information

Low Power Discrete Voltage Assignment Under Clock Skew Scheduling

Low Power Discrete Voltage Assignment Under Clock Skew Scheduling Low ower Discrete Voltage Assignment Under Clock Skew Scheduling Li Li 1, Jian Sun 2, Yinghai Lu 1, Hai Zhou 1, uan Zeng 2 1 Electrical Engineering and Computer Science Department, Northwestern University,

More information

Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning

Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning Yuchun Ma* Zhuoyuan Li* Jason Cong Xianlong Hong Glenn Reinman Sheqin Dong* Qiang Zhou *Department of Computer Science &

More information

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks

Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks Sanjay Pant, David Blaauw Electrical Engineering and Computer Science University of Michigan 1/22 Power supply integrity issues

More information

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Krit Athikulwongse, Xin Zhao, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology

More information

A Mathematical Solution to. by Utilizing Soft Edge Flip Flops

A Mathematical Solution to. by Utilizing Soft Edge Flip Flops A Mathematical Solution to Power Optimal Pipeline Design by Utilizing Soft Edge Flip Flops M. Ghasemazar, B. Amelifard, M. Pedram University of Southern California Department of Electrical Engineering

More information

UTPlaceF 3.0: A Parallelization Framework for Modern FPGA Global Placement

UTPlaceF 3.0: A Parallelization Framework for Modern FPGA Global Placement UTPlaceF 3.0: A Parallelization Framework for Modern FPGA Global Placement Wuxi Li, Meng Li, Jiajun Wang, and David Z. Pan University of Texas at Austin wuxili@utexas.edu November 14, 2017 UT DA Wuxi Li

More information

Timing Analysis with Clock Skew

Timing Analysis with Clock Skew , Mark Horowitz 1, & Dean Liu 1 David_Harris@hmc.edu, {horowitz, dliu}@vlsi.stanford.edu March, 1999 Harvey Mudd College Claremont, CA 1 (with Stanford University, Stanford, CA) Outline Introduction Timing

More information

Clock Buffer Polarity Assignment Utilizing Useful Clock Skews for Power Noise Reduction

Clock Buffer Polarity Assignment Utilizing Useful Clock Skews for Power Noise Reduction Clock Buffer Polarity Assignment Utilizing Useful Clock Skews for Power Noise Reduction Deokjin Joo and Taewhan Kim Department of Electrical and Computer Engineering, Seoul National University, Seoul,

More information

Risk Aversion Min-Period Retiming Under Process Variations

Risk Aversion Min-Period Retiming Under Process Variations Risk Aversion Min-Period Retiming Under Process Variations Jia Wang Electrical and Computer Engineering Illinois Institute of Technology Chicago, IL, USA Abstract Recent advances in statistical timing

More information

The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization

The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization Jia Wang, Shiyan Hu Department of Electrical and Computer Engineering Michigan Technological University Houghton, Michigan

More information

A Temperature-aware Synthesis Approach for Simultaneous Delay and Leakage Optimization

A Temperature-aware Synthesis Approach for Simultaneous Delay and Leakage Optimization A Temperature-aware Synthesis Approach for Simultaneous Delay and Leakage Optimization Nathaniel A. Conos and Miodrag Potkonjak Computer Science Department University of California, Los Angeles {conos,

More information

for generating the LP. Utilizing the retiming-skew relation for level-clocked circuits from [7], bounds on the variables of the minarea LP are obtaine

for generating the LP. Utilizing the retiming-skew relation for level-clocked circuits from [7], bounds on the variables of the minarea LP are obtaine Ecient Minarea Retiming of Large Level-Clocked Circuits Naresh Maheshwari Sachin S. Sapatnekar Department of Electrical & Computer Engineering Department of Electrical & Computer Engineering Iowa State

More information

Travelling Salesman Problem

Travelling Salesman Problem Travelling Salesman Problem Fabio Furini November 10th, 2014 Travelling Salesman Problem 1 Outline 1 Traveling Salesman Problem Separation Travelling Salesman Problem 2 (Asymmetric) Traveling Salesman

More information

Making Fast Buffer Insertion Even Faster via Approximation Techniques

Making Fast Buffer Insertion Even Faster via Approximation Techniques Making Fast Buffer Insertion Even Faster via Approximation Techniques Zhuo Li, C. N. Sze, Jiang Hu and Weiping Shi Department of Electrical Engineering Texas A&M University Charles J. Alpert IBM Austin

More information

Sequential Logic Circuits

Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of a sequential circuit,

More information

A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis

A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis ASP-DAC 2014 A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis Yang Song, Sai Manoj P. D. and Hao Yu School of Electrical and Electronic Engineering, Nanyang

More information

Skew Management of NBTI Impacted Gated Clock Trees

Skew Management of NBTI Impacted Gated Clock Trees International Symposium on Physical Design 2010 Skew Management of NBTI Impacted Gated Clock Trees Ashutosh Chakraborty and David Z. Pan ECE Department, University of Texas at Austin ashutosh@cerc.utexas.edu

More information

An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits

An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits Design Automation Group An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits Authors : Lengfei Han (Speaker) Xueqian Zhao Dr. Zhuo Feng

More information

MVE165/MMG631 Linear and integer optimization with applications Lecture 8 Discrete optimization: theory and algorithms

MVE165/MMG631 Linear and integer optimization with applications Lecture 8 Discrete optimization: theory and algorithms MVE165/MMG631 Linear and integer optimization with applications Lecture 8 Discrete optimization: theory and algorithms Ann-Brith Strömberg 2017 04 07 Lecture 8 Linear and integer optimization with applications

More information

G1 G2 G3 G4 G2 G3 G4

G1 G2 G3 G4 G2 G3 G4 1 Retiming Level-Clocked Circuits for Latch Count Minimization Naresh Maheshwari Sachin S. Sapatnekar Dept. of Electrical & Computer Engineering Dept. of Electrical & Computer Engineering Iowa State University,

More information

3.4 Relaxations and bounds

3.4 Relaxations and bounds 3.4 Relaxations and bounds Consider a generic Discrete Optimization problem z = min{c(x) : x X} with an optimal solution x X. In general, the algorithms generate not only a decreasing sequence of upper

More information

- Well-characterized problems, min-max relations, approximate certificates. - LP problems in the standard form, primal and dual linear programs

- Well-characterized problems, min-max relations, approximate certificates. - LP problems in the standard form, primal and dual linear programs LP-Duality ( Approximation Algorithms by V. Vazirani, Chapter 12) - Well-characterized problems, min-max relations, approximate certificates - LP problems in the standard form, primal and dual linear programs

More information

Sequential Circuit Analysis

Sequential Circuit Analysis Sequential Circuit Analysis Last time we started talking about latches and flip-flops, which are basic one-bit memory units. Today we ll talk about sequential circuit analysis and design. First, we ll

More information

Chapter 4. Sequential Logic Circuits

Chapter 4. Sequential Logic Circuits Chapter 4 Sequential Logic Circuits 1 2 Chapter 4 4 1 The defining characteristic of a combinational circuit is that its output depends only on the current inputs applied to the circuit. The output of

More information

An Optimal Algorithm of Adjustable Delay Buffer Insertion for Solving Clock Skew Variation Problem

An Optimal Algorithm of Adjustable Delay Buffer Insertion for Solving Clock Skew Variation Problem An Optimal Algorithm of Adjustable Delay Buffer Insertion for Solving Clock Skew Variation Problem Juyeon Kim 1 juyeon@ssl.snu.ac.kr Deokjin Joo 1 jdj@ssl.snu.ac.kr Taewhan Kim 1,2 tkim@ssl.snu.ac.kr 1

More information

Unit 1A: Computational Complexity

Unit 1A: Computational Complexity Unit 1A: Computational Complexity Course contents: Computational complexity NP-completeness Algorithmic Paradigms Readings Chapters 3, 4, and 5 Unit 1A 1 O: Upper Bounding Function Def: f(n)= O(g(n)) if

More information

Clock Skew Scheduling with Delay Padding for Prescribed Skew Domains

Clock Skew Scheduling with Delay Padding for Prescribed Skew Domains Clock Skew Scheduling with Delay Padding for Prescribed Skew Domains Chuan Lin Hai Zhou EECS Department Magma Design Automation Northwestern University Santa Clara, CA 95054 Evanston, IL 60208 clin@magma-da.com

More information

Genetic Algorithm Based Fine-Grain Sleep Transistor Insertion Technique for Leakage Optimization

Genetic Algorithm Based Fine-Grain Sleep Transistor Insertion Technique for Leakage Optimization Genetic Algorithm Based Fine-Grain Sleep Transistor Insertion Technique for Leakage Optimization Yu Wang, Yongpan Liu, Rong Luo, and Huazhong Yang Department of Electronics Engineering, Tsinghua University,

More information

Sequential Equivalence Checking without State Space Traversal

Sequential Equivalence Checking without State Space Traversal Sequential Equivalence Checking without State Space Traversal C.A.J. van Eijk Design Automation Section, Eindhoven University of Technology P.O.Box 53, 5600 MB Eindhoven, The Netherlands e-mail: C.A.J.v.Eijk@ele.tue.nl

More information

Optimal Resource Allocation for Multi-User MEC with Arbitrary Task Arrival Times and Deadlines

Optimal Resource Allocation for Multi-User MEC with Arbitrary Task Arrival Times and Deadlines Optimal Resource Allocation for Multi-User MEC with Arbitrary Task Arrival Times and Deadlines Xinyun Wang, Ying Cui, Zhi Liu, Junfeng Guo, Mingyu Yang Abstract In this paper, we would like to investigate

More information

Lecture 8: Column Generation

Lecture 8: Column Generation Lecture 8: Column Generation (3 units) Outline Cutting stock problem Classical IP formulation Set covering formulation Column generation A dual perspective Vehicle routing problem 1 / 33 Cutting stock

More information

Lecture 10: Sequential Networks: Timing and Retiming

Lecture 10: Sequential Networks: Timing and Retiming Lecture 10: Sequential Networks: Timing and Retiming CSE 140: Components and Design Techniques for Digital Systems Diba Mirza Dept. of Computer Science and Engineering University of California, San Diego

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 04: Timing Analysis Static timing analysis STA for sequential circuits

More information

Bilevel Integer Programming

Bilevel Integer Programming Bilevel Integer Programming Ted Ralphs 1 Joint work with: Scott DeNegre 1, Menal Guzelsoy 1 COR@L Lab, Department of Industrial and Systems Engineering, Lehigh University Norfolk Southern Ralphs, et al.

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

An Algorithm for the Circle-packing Problem via Extended Sequence-pair with Nonlinear Optimization

An Algorithm for the Circle-packing Problem via Extended Sequence-pair with Nonlinear Optimization , 23-25 October, 2013, San Francisco, USA An Algorithm for the Circle-packing Problem via Extended Sequence-pair with Nonlinear Optimization Shuhei Morinaga, Hidenori Ohta, and Mario Nakamori Abstract

More information

Chapter 7 Sequential Logic

Chapter 7 Sequential Logic Chapter 7 Sequential Logic SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} March 28, 2016 Table of Contents 1 Intro 2 Bistable Circuits 3 FF Characteristics

More information

180 INSTRUCTIONAL DAYS Budget Outlay for Grade 9 - Mathematics S.Y LEARNING COMPETENCY FIRST QUARTER. CODE DAYS LEARNING MATERIALS IM's

180 INSTRUCTIONAL DAYS Budget Outlay for Grade 9 - Mathematics S.Y LEARNING COMPETENCY FIRST QUARTER. CODE DAYS LEARNING MATERIALS IM's 180 INSTRUCTIONAL DAYS Budget Outlay for Grade 9 - Mathematics S.Y. 2014-2015 LEARNING COMPETENCY FIRST QUARTER CODE DAYS LEARNING MATERIALS IM's PATTERNS AND ALGEBRA 1 illustrates quadratic equations.

More information

EEE2135 Digital Logic Design

EEE2135 Digital Logic Design EEE2135 Digital Logic Design Chapter 7. Sequential Circuits Design 서강대학교 전자공학과 1. Model of Sequential Circuits 1) Sequential vs. Combinational Circuits a. Sequential circuits: Outputs depend on both the

More information

Sparse LU Factorization on GPUs for Accelerating SPICE Simulation

Sparse LU Factorization on GPUs for Accelerating SPICE Simulation Nano-scale Integrated Circuit and System (NICS) Laboratory Sparse LU Factorization on GPUs for Accelerating SPICE Simulation Xiaoming Chen PhD Candidate Department of Electronic Engineering Tsinghua University,

More information

Integer programming: an introduction. Alessandro Astolfi

Integer programming: an introduction. Alessandro Astolfi Integer programming: an introduction Alessandro Astolfi Outline Introduction Examples Methods for solving ILP Optimization on graphs LP problems with integer solutions Summary Introduction Integer programming

More information

3.10 Lagrangian relaxation

3.10 Lagrangian relaxation 3.10 Lagrangian relaxation Consider a generic ILP problem min {c t x : Ax b, Dx d, x Z n } with integer coefficients. Suppose Dx d are the complicating constraints. Often the linear relaxation and the

More information

MVE165/MMG630, Applied Optimization Lecture 6 Integer linear programming: models and applications; complexity. Ann-Brith Strömberg

MVE165/MMG630, Applied Optimization Lecture 6 Integer linear programming: models and applications; complexity. Ann-Brith Strömberg MVE165/MMG630, Integer linear programming: models and applications; complexity Ann-Brith Strömberg 2011 04 01 Modelling with integer variables (Ch. 13.1) Variables Linear programming (LP) uses continuous

More information

An ADMM Algorithm for Clustering Partially Observed Networks

An ADMM Algorithm for Clustering Partially Observed Networks An ADMM Algorithm for Clustering Partially Observed Networks Necdet Serhat Aybat Industrial Engineering Penn State University 2015 SIAM International Conference on Data Mining Vancouver, Canada Problem

More information

Latch/Register Scheduling For Process Variation

Latch/Register Scheduling For Process Variation Latch/Register Scheduling For Process Variation Aaron P. Hurst Dept. of Electrical Engineering and Computer Sciences University of California, Berkeley Berkeley, CA 94720 ahurst@eecs.berkeley.edu Abstract

More information

PART 4 INTEGER PROGRAMMING

PART 4 INTEGER PROGRAMMING PART 4 INTEGER PROGRAMMING 102 Read Chapters 11 and 12 in textbook 103 A capital budgeting problem We want to invest $19 000 Four investment opportunities which cannot be split (take it or leave it) 1.

More information

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th, TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary

More information

Fundamentals of Digital Design

Fundamentals of Digital Design Fundamentals of Digital Design Digital Radiation Measurement and Spectroscopy NE/RHP 537 1 Binary Number System The binary numeral system, or base-2 number system, is a numeral system that represents numeric

More information

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators Hao Zhuang 1, Wenjian Yu 2, Ilgweon Kang 1, Xinan Wang 1, and Chung-Kuan Cheng 1 1. University of California, San

More information

Logical design of digital systems

Logical design of digital systems 21062017 lectures Summer Semester 2017 Table of content 1 Combinational circuit design 2 Elementary combinatorial circuits for data transmission 3 Memory structures 4 Programmable logic devices 5 Algorithmic

More information

Incremental Latin Hypercube Sampling

Incremental Latin Hypercube Sampling Incremental Latin Hypercube Sampling for Lifetime Stochastic Behavioral Modeling of Analog Circuits Yen-Lung Chen +, Wei Wu *, Chien-Nan Jimmy Liu + and Lei He * EE Dept., National Central University,

More information

Power-Driven Global Routing for Multi-Supply Voltage Domains

Power-Driven Global Routing for Multi-Supply Voltage Domains Power-Driven Global Routing for Multi-Supply Voltage Domains Tai-Hsuan Wu, Azadeh Davoodi, and Jeffrey T. Linderoth Department of Electrical and Computer Engineering Department of Industrial and Systems

More information

Problem Set 9 Solutions

Problem Set 9 Solutions CSE 26 Digital Computers: Organization and Logical Design - 27 Jon Turner Problem Set 9 Solutions. For each of the sequential circuits shown below, draw in the missing parts of the timing diagrams. You

More information

A Column Generation Based Heuristic for the Dial-A-Ride Problem

A Column Generation Based Heuristic for the Dial-A-Ride Problem A Column Generation Based Heuristic for the Dial-A-Ride Problem Nastaran Rahmani 1, Boris Detienne 2,3, Ruslan Sadykov 3,2, François Vanderbeck 2,3 1 Kedge Business School, 680 Cours de la Libération,

More information

ELE539A: Optimization of Communication Systems Lecture 16: Pareto Optimization and Nonconvex Optimization

ELE539A: Optimization of Communication Systems Lecture 16: Pareto Optimization and Nonconvex Optimization ELE539A: Optimization of Communication Systems Lecture 16: Pareto Optimization and Nonconvex Optimization Professor M. Chiang Electrical Engineering Department, Princeton University March 16, 2007 Lecture

More information

A Capacity Scaling Procedure for the Multi-Commodity Capacitated Network Design Problem. Ryutsu Keizai University Naoto KATAYAMA

A Capacity Scaling Procedure for the Multi-Commodity Capacitated Network Design Problem. Ryutsu Keizai University Naoto KATAYAMA A Capacity Scaling Procedure for the Multi-Commodity Capacitated Network Design Problem Ryutsu Keizai University Naoto KATAYAMA Problems 2006 1 Multi-Commodity Network Design Problem The basic model for

More information

Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration

Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration Shan Zeng, Wenjian Yu, Jin Shi, Xianlong Hong Dept. Computer Science & Technology, Tsinghua University, Beijing

More information

The two-machine flowshop total completion time problem: A branch-and-bound based on network-flow formulation

The two-machine flowshop total completion time problem: A branch-and-bound based on network-flow formulation The two-machine flowshop total completion time problem: A branch-and-bound based on network-flow formulation Boris Detienne 1, Ruslan Sadykov 1, Shunji Tanaka 2 1 : Team Inria RealOpt, University of Bordeaux,

More information

Categories and Subject Descriptors: J.6 [Computer Aided Engineering]: Computer aided design (CAD), B.6.3 [Design Aids]: Optimization.

Categories and Subject Descriptors: J.6 [Computer Aided Engineering]: Computer aided design (CAD), B.6.3 [Design Aids]: Optimization. Variation-Aware Supply Voltage Assignment for Minimizing Circuit Degradation and Leakage Xiaoming Chen, Yu Wang *, Yu Cao 3, Yuchun Ma, Huazhong Yang Dept. of E.E., TNList, Tsinghua Univ., Beijing, China

More information

Reformulation and Sampling to Solve a Stochastic Network Interdiction Problem

Reformulation and Sampling to Solve a Stochastic Network Interdiction Problem Network Interdiction Stochastic Network Interdiction and to Solve a Stochastic Network Interdiction Problem Udom Janjarassuk Jeff Linderoth ISE Department COR@L Lab Lehigh University jtl3@lehigh.edu informs

More information

Example: vending machine

Example: vending machine Example: vending machine Release item after 15 cents are deposited Single coin slot for dimes, nickels o change Reset Coin Sensor Vending Machine FSM Open Release Mechanism Clock Spring 2005 CSE370 - guest

More information

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) ELEC 2200-002 Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering

More information

Lecture 3: Lagrangian duality and algorithms for the Lagrangian dual problem

Lecture 3: Lagrangian duality and algorithms for the Lagrangian dual problem Lecture 3: Lagrangian duality and algorithms for the Lagrangian dual problem Michael Patriksson 0-0 The Relaxation Theorem 1 Problem: find f := infimum f(x), x subject to x S, (1a) (1b) where f : R n R

More information

Low-ranksemidefiniteprogrammingforthe. MAX2SAT problem. Bosch Center for Artificial Intelligence

Low-ranksemidefiniteprogrammingforthe. MAX2SAT problem. Bosch Center for Artificial Intelligence Low-ranksemidefiniteprogrammingforthe MAX2SAT problem Po-Wei Wang J. Zico Kolter Machine Learning Department Carnegie Mellon University School of Computer Science, Carnegie Mellon University, and Bosch

More information

Bilevel Integer Optimization: Theory and Algorithms

Bilevel Integer Optimization: Theory and Algorithms : Theory and Algorithms Ted Ralphs 1 Joint work with Sahar Tahernajad 1, Scott DeNegre 3, Menal Güzelsoy 2, Anahita Hassanzadeh 4 1 COR@L Lab, Department of Industrial and Systems Engineering, Lehigh University

More information

Static Timing Analysis Considering Power Supply Variations

Static Timing Analysis Considering Power Supply Variations Static Timing Analysis Considering Power Supply Variations Sanay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract Power supply integrity verification has become a key concern in high performance

More information

Ch 7. Finite State Machines. VII - Finite State Machines Contemporary Logic Design 1

Ch 7. Finite State Machines. VII - Finite State Machines Contemporary Logic Design 1 Ch 7. Finite State Machines VII - Finite State Machines Contemporary Logic esign 1 Finite State Machines Sequential circuits primitive sequential elements combinational logic Models for representing sequential

More information

Integer Programming ISE 418. Lecture 8. Dr. Ted Ralphs

Integer Programming ISE 418. Lecture 8. Dr. Ted Ralphs Integer Programming ISE 418 Lecture 8 Dr. Ted Ralphs ISE 418 Lecture 8 1 Reading for This Lecture Wolsey Chapter 2 Nemhauser and Wolsey Sections II.3.1, II.3.6, II.4.1, II.4.2, II.5.4 Duality for Mixed-Integer

More information

POLYNOMIAL MILP FORMULATIONS

POLYNOMIAL MILP FORMULATIONS POLYNOMIAL MILP FORMULATIONS Miller-Tucker-Zemlin (J. ACM, 1960); Gavish-Graves (MIT Tech. Report 1978) Fox-Gavish-Graves (Operations Research 1980); Wong (IEEE Conference, 1980); Claus (SIAM J. on Algebraic

More information

Development of the new MINLP Solver Decogo using SCIP - Status Report

Development of the new MINLP Solver Decogo using SCIP - Status Report Development of the new MINLP Solver Decogo using SCIP - Status Report Pavlo Muts with Norman Breitfeld, Vitali Gintner, Ivo Nowak SCIP Workshop 2018, Aachen Table of contents 1. Introduction 2. Automatic

More information

Lecture 9: Dantzig-Wolfe Decomposition

Lecture 9: Dantzig-Wolfe Decomposition Lecture 9: Dantzig-Wolfe Decomposition (3 units) Outline Dantzig-Wolfe decomposition Column generation algorithm Relation to Lagrangian dual Branch-and-price method Generated assignment problem and multi-commodity

More information

Branch-and-Price-and-Cut for the Split Delivery Vehicle Routing Problem with Time Windows

Branch-and-Price-and-Cut for the Split Delivery Vehicle Routing Problem with Time Windows Branch-and-Price-and-Cut for the Split Delivery Vehicle Routing Problem with Time Windows Guy Desaulniers École Polytechnique de Montréal and GERAD Column Generation 2008 Aussois, France Outline Introduction

More information

Discrete Gate Sizing Methodologies for Delay, Area and Power Optimization

Discrete Gate Sizing Methodologies for Delay, Area and Power Optimization Syracuse University SURFACE Dissertations - ALL SURFACE December 2014 Discrete Gate Sizing Methodologies for Delay, Area and Power Optimization Jiani Xie Syracuse University Follow this and additional

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

A Framework for Layout-Level Logic Restructuring. Hosung Leo Kim John Lillis

A Framework for Layout-Level Logic Restructuring. Hosung Leo Kim John Lillis A Framework for Layout-Level Logic Restructuring Hosung Leo Kim John Lillis Motivation: Logical-to-Physical Disconnect Logic-level Optimization disconnect Physical-level Optimization fixed netlist Limited

More information

THE TRAVELING SALESMAN PROBLEM (TSP) is one

THE TRAVELING SALESMAN PROBLEM (TSP) is one Proceedings of the 2013 Federated Conference on Computer Science and Information Systems pp. 377 384 Quadratic TSP: A lower bounding procedure and a column generation approach Borzou Rostami, Federico

More information

Parallel PIPS-SBB Multi-level parallelism for 2-stage SMIPS. Lluís-Miquel Munguia, Geoffrey M. Oxberry, Deepak Rajan, Yuji Shinano

Parallel PIPS-SBB Multi-level parallelism for 2-stage SMIPS. Lluís-Miquel Munguia, Geoffrey M. Oxberry, Deepak Rajan, Yuji Shinano Parallel PIPS-SBB Multi-level parallelism for 2-stage SMIPS Lluís-Miquel Munguia, Geoffrey M. Oxberry, Deepak Rajan, Yuji Shinano ... Our contribution PIPS-PSBB*: Multi-level parallelism for Stochastic

More information

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015 TU 2015 Contest Incremental Timing nalysis and Incremental Common Path Pessimism Removal CPPR Contest Education v1.9 January 19 th, 2015 https://sites.google.com/site/taucontest2015 Contents 1 Introduction

More information

Computational Integer Programming. Lecture 2: Modeling and Formulation. Dr. Ted Ralphs

Computational Integer Programming. Lecture 2: Modeling and Formulation. Dr. Ted Ralphs Computational Integer Programming Lecture 2: Modeling and Formulation Dr. Ted Ralphs Computational MILP Lecture 2 1 Reading for This Lecture N&W Sections I.1.1-I.1.6 Wolsey Chapter 1 CCZ Chapter 2 Computational

More information

Fast 3-D Thermal Simulation for Integrated Circuits With Domain Decomposition Method

Fast 3-D Thermal Simulation for Integrated Circuits With Domain Decomposition Method 2014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 32, NO. 12, DECEMBER 2013 Fast 3-D Thermal Simulation for Integrated Circuits With Domain Decomposition Method Wenjian

More information

The Ongoing Development of CSDP

The Ongoing Development of CSDP The Ongoing Development of CSDP Brian Borchers Department of Mathematics New Mexico Tech Socorro, NM 87801 borchers@nmt.edu Joseph Young Department of Mathematics New Mexico Tech (Now at Rice University)

More information

FF A. C I1 I2 I3 I4 Ck Ck Ck FF B I1 I2 I3 I4 FF A FF C

FF A. C I1 I2 I3 I4 Ck Ck Ck FF B I1 I2 I3 I4 FF A FF C 1 Ecient Retiming of Large Circuits Naresh Maheshwari, Student Member, IEEE, and Sachin Sapatnekar, Member, IEEE Abstract Retiming, introduced by Leiserson and Saxe, is a powerful transformation of circuits

More information

Clocked Synchronous State-machine Analysis

Clocked Synchronous State-machine Analysis Clocked Synchronous State-machine Analysis Given the circuit diagram of a state machine: Analyze the combinational logic to determine flip-flop input (excitation) equations: D i = F i (Q, inputs) The input

More information

Improvements to Core-Guided Binary Search for MaxSAT

Improvements to Core-Guided Binary Search for MaxSAT Improvements to Core-Guided Binary Search for MaxSAT A.Morgado F.Heras J.Marques-Silva CASL/CSI, University College Dublin Dublin, Ireland SAT, June 2012 Outline Motivation Previous Algorithms - Overview

More information

Introduction to Mathematical Programming IE406. Lecture 21. Dr. Ted Ralphs

Introduction to Mathematical Programming IE406. Lecture 21. Dr. Ted Ralphs Introduction to Mathematical Programming IE406 Lecture 21 Dr. Ted Ralphs IE406 Lecture 21 1 Reading for This Lecture Bertsimas Sections 10.2, 10.3, 11.1, 11.2 IE406 Lecture 21 2 Branch and Bound Branch

More information

Computing Clock Skew Schedules Under Normal Process Variation

Computing Clock Skew Schedules Under Normal Process Variation Computing Clock Skew Schedules Under Normal Process Variation Aaron P. Hurst and Robert K. Brayton Dept. of Electrical Engineering and Computer Sciences University of California, Berkeley Berkeley, CA

More information

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden of /4 4 Embedded Systems Design: Optimization Challenges Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden Outline! Embedded systems " Example area: automotive electronics " Embedded systems

More information

A Priori Route Evaluation for the Lateral Transhipment Problem (ARELTP) with Piecewise Linear Profits

A Priori Route Evaluation for the Lateral Transhipment Problem (ARELTP) with Piecewise Linear Profits 1 / 47 A Priori Route Evaluation for the Lateral Transhipment Problem (ARELTP) with Piecewise Linear Profits Martin Romauch 1 Richard Hartl 1 Thibaut Vidal 2 1 University of Vienna 2 PUC-Rio, Rio de Janeiro,

More information

10/12/2016. An FSM with No Inputs Moves from State to State. ECE 120: Introduction to Computing. Eventually, the States Form a Loop

10/12/2016. An FSM with No Inputs Moves from State to State. ECE 120: Introduction to Computing. Eventually, the States Form a Loop University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering An FSM with No Inputs Moves from State to State What happens if an FSM has no inputs? ECE 120: Introduction to Computing

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

Solving Elementary Shortest-Path Problems as Mixed-Integer Programs

Solving Elementary Shortest-Path Problems as Mixed-Integer Programs Gutenberg School of Management and Economics Discussion Paper Series Solving Elementary Shortest-Path Problems as Mixed-Integer Programs Michael Drexl and Stefan Irnich Januar 2012 Discussion paper number

More information

Minimizing Clock Latency Range in Robust Clock Tree Synthesis

Minimizing Clock Latency Range in Robust Clock Tree Synthesis Minimizing Clock Latency Range in Robust Clock Tree Synthesis Wen-Hao Liu Yih-Lang Li Hui-Chi Chen You have to enlarge your font. Many pages are hard to view. I think the position of Page topic is too

More information

Sequential vs. Combinational

Sequential vs. Combinational Sequential Circuits Sequential vs. Combinational Combinational Logic: Output depends only on current input TV channel selector (-9) inputs system outputs Sequential Logic: Output depends not only on current

More information

Reformulation and Decomposition of Integer Programs

Reformulation and Decomposition of Integer Programs Reformulation and Decomposition of Integer Programs François Vanderbeck 1 and Laurence A. Wolsey 2 (Reference: CORE DP 2009/16) (1) Université Bordeaux 1 & INRIA-Bordeaux (2) Université de Louvain, CORE.

More information

What s New in Active-Set Methods for Nonlinear Optimization?

What s New in Active-Set Methods for Nonlinear Optimization? What s New in Active-Set Methods for Nonlinear Optimization? Philip E. Gill Advances in Numerical Computation, Manchester University, July 5, 2011 A Workshop in Honor of Sven Hammarling UCSD Center for

More information

E5295/5B5749 Convex optimization with engineering applications. Lecture 5. Convex programming and semidefinite programming

E5295/5B5749 Convex optimization with engineering applications. Lecture 5. Convex programming and semidefinite programming E5295/5B5749 Convex optimization with engineering applications Lecture 5 Convex programming and semidefinite programming A. Forsgren, KTH 1 Lecture 5 Convex optimization 2006/2007 Convex quadratic program

More information

Discrete Optimization 2010 Lecture 8 Lagrangian Relaxation / P, N P and co-n P

Discrete Optimization 2010 Lecture 8 Lagrangian Relaxation / P, N P and co-n P Discrete Optimization 2010 Lecture 8 Lagrangian Relaxation / P, N P and co-n P Marc Uetz University of Twente m.uetz@utwente.nl Lecture 8: sheet 1 / 32 Marc Uetz Discrete Optimization Outline 1 Lagrangian

More information

CS711008Z Algorithm Design and Analysis

CS711008Z Algorithm Design and Analysis CS711008Z Algorithm Design and Analysis Lecture 8 Linear programming: interior point method Dongbo Bu Institute of Computing Technology Chinese Academy of Sciences, Beijing, China 1 / 31 Outline Brief

More information