An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators

Size: px
Start display at page:

Download "An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators"

Transcription

1 An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators Hao Zhuang 1, Wenjian Yu 2, Ilgweon Kang 1, Xinan Wang 1, and Chung-Kuan Cheng 1 1. University of California, San Diego 2. Tsinghua University

2 Outline Motivation & Contributions Background of time-domain circuit simulation Our algorithmic framework Exponential integrators Invert Krylov subspace method Experimental results Conclusions & future directions 2

3 SPICE Motivation critical to wide ranges of IC Modern IC billions of transistors complex interconnects Requirement: new structures e.g., FinFET, 3D strong coupled post-layout effects capability & accuracy Simulation runtime long/infinite From Dick Sites, Datacenter Computers modern challenges in CPU design Google Inc & Intel i7 From Synopsys Inc. Issue 3, 2012 Technology Update FinFET: The Promises and the Challenges 3

4 Contributions Exponential Integration Stable, Explicit No Newton-Raphson Target of matrix factorization: conductance matrix G ONLY Less expensive Handling tasks (even when traditional schemes FAIL) large-scale, strong coupled, post-layout A promising framework 4

5 Basic & BENR as An Example (1) Differential Equations BE: Backward Euler conductance (/incidence) compents time step capacitance (/inductance) components input nonlinear devices dynamics 5

6 Basic & BENR as An Example (2) NR: Newton-Raphson Jacobian matrix BENR: Backward Euler + Newton-Raphson iterations 6

7 Basic & BENR as An Example (3) NR: Newton-Raphson Jacobian matrix BENR: Backward Euler + Newton-Raphson iterations capacitance matrix 7

8 Matrix Exponential Method Our previous attempt [Weng12] where 8

9 Matrix Exponential Method Our previous attempt [Weng12] where It also uses NR The Jacobian matrix capacitance matrix 9

10 Matrices from a Post-Layout Case C G C, G matrices from FreeCPU [Zhang, Yu TCAD 2013] nnz: non-zero terms 10

11 Matrices from a Post-Layout Case C G C, G matrices L U lu(c) 11

12 Matrices from a Post-Layout Case C G L U C, G matrices lu( C h + G) 12

13 Matrices from a Post-Layout Case C, G matrices L and U of lu( C h + G) L U L and U of lu(c) lu(g) 13

14 Matrices from a Post-Layout Case Traditional methods are all challenged by C, when C is complicated, L and U of lu( C h + G) In this example, lu(g) contains less nnz (~10%) & less complicated nnz distributions L and U of lu(g) 14

15 Two techniques: Our proposed framework ER: Exponential Rosenbrock Formulation Invert Krylov subspace to compute e J v Computational advantages Simple matrix factorization target: exploit the feature of lu(g) Stable explicit method to solve circuit system 15

16 ER: Exponential Rosenbrock Start from dx t = g(x, u, t) dt The next time step solution [Hochbruck, et. al. SIAM09] x k+1 = x k + h k φ 1 h k J k g(x k, u, t k ) + h k 2 φ 2 h k J k b k where J k = g/ x, b k = g/ t φ 1 h k J k = (e h kj k I n )/h k J k φ 2 h k J k = (e h kj k I n )/h k 2 J k 2 I n /h k J k Exponential Integrators: Proved to be Stable, Explicit, High-Order Accuracy for ODE 16

17 Chain rule: ER in Circuit Simulation where dq x t dx dx t dt = Bu t f(x) dq x t dx = C x t = C k, J k = C k 1 G k, g k = J k + C k 1 F k + Bu t, b k = C k 1 Bu t k+1 Bu t k h k We have ALL the components to obtain x k+1 x k+1 (h k ) = x k + h k φ 1 h k J k g(x, u, t) + h k 2 φ 2 h k J k b k 17

18 Local Nonlinear Error Control The local nonlinear error estimator [Caliari09] e rr x k+1, x k = φ 1 h k J k C 1 k ΔF k where ΔF k = F x k+1 F(x k ) ER-C: ER with Correction Term Reuse ΔF k to improve the accuracy by padding the extra term D k = γh k φ 2 h k J k C k 1 ΔF k The further corrected solution is x k+1,c = x k+1 D k 18

19 Krylov Method for MEVP e J v e J v: Matrix Exponential and Vector Product (MEVP) via standard Krylov subspace [Weng12] K m J, v span v, Jv, J 2 v,, J m 1 v Arnoldi process and Matrix reduction: JV m = V m H m + h m+1,m v m+1 e m T MEVP is computed by e J v v 2 V m e H me 1 Explicit feature: time stepping only by scaling H m with h, e hj v v 2 V m e hh me 1 19

20 Standard Krylov subspace (a) Standard Krylov Basis [Weng12] K m J, v span v, Jv, J 2 v,, J m 1 v like these eigenvalues Im 0 Re spectrum of J = C 1 G Eigenvalues of J: small magnitude of Re Eigenvalues of J: large magnitude of Re 20

21 Standard Krylov subspace (a) Standard Krylov Basis [Weng12] K m J, v span v, Jv, J 2 v,, J m 1 v spectrum of J = C 1 G Im 0 Re these eigenvalues defines the major dynamical behavior demand more bases to characterize Eigenvalues of J: small magnitude of Re Eigenvalues of J: large magnitude of Re 21

22 Invert Krylov subspace Invert Krylov Basis [Zhuang, et. al. DAC14] K m J 1, v span v, J 1 v, J 2 v,, J m+1 v Invert Krylov subspace method captures important eigenvalues in the original spectrum Im Im 0 Re 0 Re spectrum of J spectrum of J 1 Eigenvalues of J: small magnitude of Re Eigenvalues of J: large magnitude of Re 22

23 Simple Matrix Fct. Taget Invert Krylov Subspace approach transfers J = C 1 G J 1 = G 1 C At each iteration, we generate invert Krylov subspace V m = v 1, v 2,, v m by solving Gw = Cv i 1 23

24 Overall Framework No Newton-Raphson Build upon exponential integrators explicit method for DAE solver adjust error by step size control ER-C: further improve the solution 24

25 Experimental Results Implemented in MATLAB2013a & C/C++ (GCC 4.7.3) Opensource BSIM3 device model with C MATLAB Executable (MEX) external interface between device evaluation and matrix solvers Linux workstation Intel CPU i7 3.4GHZ 32GB memory. Utilize single thread mode. 25

26 Accuracy 26

27 Runtime Performance #Dev.: the number of devices. nnzc & nnzg: the number of non-zero elements in linear C and G. #step: the number of steps for transient simulation; For each time step, #NR a : the average NR iterations #m a : the average dimension of invert Krylov subspace RT(s): the runtime. SP: the runtime speedup Test circuits 27

28 Conclusions and Future Directions Accelerate SPICE-like time-domain simulation framework Exponential Integrators Stable Explicit method MEVP w/ invert Krylov Subspace & Less expensive matrix factorizations. Handling tasks even when traditional methods fail. Future directions: parallelism, can be accelerated further by multicore/many-core computing systems. many derivatives & tools can be built upon. 28

29 Thanks and Q&A 29

UNIVERSITY OF CALIFORNIA, SAN DIEGO. Exponential Time Integration for Transient Analysis of Large-Scale Circuits

UNIVERSITY OF CALIFORNIA, SAN DIEGO. Exponential Time Integration for Transient Analysis of Large-Scale Circuits UNIVERSITY OF CALIFORNIA, SAN DIEGO Exponential Time Integration for Transient Analysis of Large-Scale Circuits A dissertation submitted in partial satisfaction of the requirements for the degree Doctor

More information

Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration

Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration Shan Zeng, Wenjian Yu, Jin Shi, Xianlong Hong Dept. Computer Science & Technology, Tsinghua University, Beijing

More information

Sparse LU Factorization on GPUs for Accelerating SPICE Simulation

Sparse LU Factorization on GPUs for Accelerating SPICE Simulation Nano-scale Integrated Circuit and System (NICS) Laboratory Sparse LU Factorization on GPUs for Accelerating SPICE Simulation Xiaoming Chen PhD Candidate Department of Electronic Engineering Tsinghua University,

More information

A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis

A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis ASP-DAC 2014 A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis Yang Song, Sai Manoj P. D. and Hao Yu School of Electrical and Electronic Engineering, Nanyang

More information

An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits

An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits Design Automation Group An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits Authors : Lengfei Han (Speaker) Xueqian Zhao Dr. Zhuo Feng

More information

Quiescent Steady State (DC) Analysis The Newton-Raphson Method

Quiescent Steady State (DC) Analysis The Newton-Raphson Method Quiescent Steady State (DC) Analysis The Newton-Raphson Method J. Roychowdhury, University of California at Berkeley Slide 1 Solving the System's DAEs DAEs: many types of solutions useful DC steady state:

More information

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng Parallel VLSI CAD Algorithms Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5900spring2012.html

More information

Numerical Characterization of Multi-Dielectric Green s Function for 3-D Capacitance Extraction with Floating Random Walk Algorithm

Numerical Characterization of Multi-Dielectric Green s Function for 3-D Capacitance Extraction with Floating Random Walk Algorithm Numerical Characterization of Multi-Dielectric Green s Function for 3-D Capacitance Extraction with Floating Random Walk Algorithm Hao Zhuang 1, 2, Wenjian Yu 1 *, Gang Hu 1, Zuochang Ye 3 1 Department

More information

A Trajectory Piecewise-Linear Approach to Model Order Reduction and Fast Simulation of Nonlinear Circuits and Micromachined Devices

A Trajectory Piecewise-Linear Approach to Model Order Reduction and Fast Simulation of Nonlinear Circuits and Micromachined Devices IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 2, FEBRUARY 2003 155 A Trajectory Piecewise-Linear Approach to Model Order Reduction and Fast Simulation of Nonlinear

More information

A Robust Periodic Arnoldi Shooting Algorithm for Efficient Analysis of Large-scale RF/MM ICs

A Robust Periodic Arnoldi Shooting Algorithm for Efficient Analysis of Large-scale RF/MM ICs 3.3 A Robust Shooting Algorithm for Efficient Analysis of Large-scale RF/MM ICs Xue-Xin Liu, Hao Yu, and Sheldon X.-D. Tan Department of Electrical Engineering, University of California, Riverside, CA

More information

Parallelism in Structured Newton Computations

Parallelism in Structured Newton Computations Parallelism in Structured Newton Computations Thomas F Coleman and Wei u Department of Combinatorics and Optimization University of Waterloo Waterloo, Ontario, Canada N2L 3G1 E-mail: tfcoleman@uwaterlooca

More information

A Trajectory Piecewise-Linear Approach to Model Order Reduction and Fast Simulation of Nonlinear Circuits and Micromachined Devices

A Trajectory Piecewise-Linear Approach to Model Order Reduction and Fast Simulation of Nonlinear Circuits and Micromachined Devices A Trajectory Piecewise-Linear Approach to Model Order Reduction and Fast Simulation of Nonlinear Circuits and Micromachined Devices Michał Rewieński, Jacob White Department of Electrical Engineering and

More information

A 3-D Parasitic Extraction Flow for the Modeling and Timing Analysis of FinFET Structures

A 3-D Parasitic Extraction Flow for the Modeling and Timing Analysis of FinFET Structures A 3-D Parasitic Extraction Flow for the Modeling and Timing Analysis of FinFET Structures Kuangya Zhai 1, Qingqing Zhang 1,2, Li Li 3, Wenjian Yu 1 1 Tsinghua National Laboratory for Information Science

More information

Improved pre-characterization method for the random walk based capacitance extraction of multi-dielectric VLSI interconnects

Improved pre-characterization method for the random walk based capacitance extraction of multi-dielectric VLSI interconnects INTERNATIONAL JOURNAL OF NUMERICAL MODELLING: ELECTRONIC NETWORKS, DEVICES AND FIELDS Int. J. Numer. Model. 2016; 29:21 34 Published online 8 January 2015 in Wiley Online Library (wileyonlinelibrary.com)..2042

More information

ECE 546 Lecture 16 MNA and SPICE

ECE 546 Lecture 16 MNA and SPICE ECE 546 Lecture 16 MNA and SPICE Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Nodal Analysis The Node oltage method

More information

Model Order Reduction using SPICE Simulation Traces. Technical Report

Model Order Reduction using SPICE Simulation Traces. Technical Report Model Order Reduction using SPICE Simulation Traces Paul Winkler, Henda Aridhi, and Sofiène Tahar Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada pauwink@web.de,

More information

GPU acceleration of Newton s method for large systems of polynomial equations in double double and quad double arithmetic

GPU acceleration of Newton s method for large systems of polynomial equations in double double and quad double arithmetic GPU acceleration of Newton s method for large systems of polynomial equations in double double and quad double arithmetic Jan Verschelde joint work with Xiangcheng Yu University of Illinois at Chicago

More information

Algebraic Multigrid as Solvers and as Preconditioner

Algebraic Multigrid as Solvers and as Preconditioner Ò Algebraic Multigrid as Solvers and as Preconditioner Domenico Lahaye domenico.lahaye@cs.kuleuven.ac.be http://www.cs.kuleuven.ac.be/ domenico/ Department of Computer Science Katholieke Universiteit Leuven

More information

ECE 497 JS Lecture - 11 Modeling Devices for SI

ECE 497 JS Lecture - 11 Modeling Devices for SI ECE 497 JS Lecture 11 Modeling Devices for SI Spring 2004 Jose E. SchuttAine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements Thursday Feb 26 th NO CLASS Tuesday

More information

Incremental Latin Hypercube Sampling

Incremental Latin Hypercube Sampling Incremental Latin Hypercube Sampling for Lifetime Stochastic Behavioral Modeling of Analog Circuits Yen-Lung Chen +, Wei Wu *, Chien-Nan Jimmy Liu + and Lei He * EE Dept., National Central University,

More information

UTPlaceF 3.0: A Parallelization Framework for Modern FPGA Global Placement

UTPlaceF 3.0: A Parallelization Framework for Modern FPGA Global Placement UTPlaceF 3.0: A Parallelization Framework for Modern FPGA Global Placement Wuxi Li, Meng Li, Jiajun Wang, and David Z. Pan University of Texas at Austin wuxili@utexas.edu November 14, 2017 UT DA Wuxi Li

More information

Exponential integration of large systems of ODEs

Exponential integration of large systems of ODEs Exponential integration of large systems of ODEs Jitse Niesen (University of Leeds) in collaboration with Will Wright (Melbourne University) 23rd Biennial Conference on Numerical Analysis, June 2009 Plan

More information

The Chemical Kinetics Time Step a detailed lecture. Andrew Conley ACOM Division

The Chemical Kinetics Time Step a detailed lecture. Andrew Conley ACOM Division The Chemical Kinetics Time Step a detailed lecture Andrew Conley ACOM Division Simulation Time Step Deep convection Shallow convection Stratiform tend (sedimentation, detrain, cloud fraction, microphysics)

More information

Transient Sensitivity Analysis CASA Day 13th Nov 2007 Zoran Ilievski. Zoran Ilievski Transient Sensitivity Analysis

Transient Sensitivity Analysis CASA Day 13th Nov 2007 Zoran Ilievski. Zoran Ilievski Transient Sensitivity Analysis CASA Day 13th Nov 2007 Talk Structure Talk Structure Introduction Talk Structure Introduction Recap Sensitivity Talk Structure Introduction Recap Sensitivity Examples and Results Talk Structure Introduction

More information

A parameter tuning technique of a weighted Jacobi-type preconditioner and its application to supernova simulations

A parameter tuning technique of a weighted Jacobi-type preconditioner and its application to supernova simulations A parameter tuning technique of a weighted Jacobi-type preconditioner and its application to supernova simulations Akira IMAKURA Center for Computational Sciences, University of Tsukuba Joint work with

More information

WHEN studying distributed simulations of power systems,

WHEN studying distributed simulations of power systems, 1096 IEEE TRANSACTIONS ON POWER SYSTEMS, VOL 21, NO 3, AUGUST 2006 A Jacobian-Free Newton-GMRES(m) Method with Adaptive Preconditioner and Its Application for Power Flow Calculations Ying Chen and Chen

More information

An Efficient Low Memory Implicit DG Algorithm for Time Dependent Problems

An Efficient Low Memory Implicit DG Algorithm for Time Dependent Problems An Efficient Low Memory Implicit DG Algorithm for Time Dependent Problems P.-O. Persson and J. Peraire Massachusetts Institute of Technology 2006 AIAA Aerospace Sciences Meeting, Reno, Nevada January 9,

More information

Block Iterative Eigensolvers for Sequences of Dense Correlated Eigenvalue Problems

Block Iterative Eigensolvers for Sequences of Dense Correlated Eigenvalue Problems Mitglied der Helmholtz-Gemeinschaft Block Iterative Eigensolvers for Sequences of Dense Correlated Eigenvalue Problems Birkbeck University, London, June the 29th 2012 Edoardo Di Napoli Motivation and Goals

More information

Quadrature based Broyden-like method for systems of nonlinear equations

Quadrature based Broyden-like method for systems of nonlinear equations STATISTICS, OPTIMIZATION AND INFORMATION COMPUTING Stat., Optim. Inf. Comput., Vol. 6, March 2018, pp 130 138. Published online in International Academic Press (www.iapress.org) Quadrature based Broyden-like

More information

Model Order Reduction via Matlab Parallel Computing Toolbox. Istanbul Technical University

Model Order Reduction via Matlab Parallel Computing Toolbox. Istanbul Technical University Model Order Reduction via Matlab Parallel Computing Toolbox E. Fatih Yetkin & Hasan Dağ Istanbul Technical University Computational Science & Engineering Department September 21, 2009 E. Fatih Yetkin (Istanbul

More information

Jacobi-Davidson Eigensolver in Cusolver Library. Lung-Sheng Chien, NVIDIA

Jacobi-Davidson Eigensolver in Cusolver Library. Lung-Sheng Chien, NVIDIA Jacobi-Davidson Eigensolver in Cusolver Library Lung-Sheng Chien, NVIDIA lchien@nvidia.com Outline CuSolver library - cusolverdn: dense LAPACK - cusolversp: sparse LAPACK - cusolverrf: refactorization

More information

IBIS Modeling Using Latency Insertion Method (LIM)

IBIS Modeling Using Latency Insertion Method (LIM) IBIS Modeling Using Latency Insertion Method (LIM) José E. Schutt Ainé University of Illinois at Urbana- Champaign Jilin Tan, Ping Liu, Feras Al Hawari, Ambrish arma Cadence Design Systems European IBIS

More information

Faster Kinetics: Accelerate Your Finite-Rate Combustion Simulation with GPUs

Faster Kinetics: Accelerate Your Finite-Rate Combustion Simulation with GPUs Faster Kinetics: Accelerate Your Finite-Rate Combustion Simulation with GPUs Christopher P. Stone, Ph.D. Computational Science and Engineering, LLC Kyle Niemeyer, Ph.D. Oregon State University 2 Outline

More information

A Newton-Galerkin-ADI Method for Large-Scale Algebraic Riccati Equations

A Newton-Galerkin-ADI Method for Large-Scale Algebraic Riccati Equations A Newton-Galerkin-ADI Method for Large-Scale Algebraic Riccati Equations Peter Benner Max-Planck-Institute for Dynamics of Complex Technical Systems Computational Methods in Systems and Control Theory

More information

Incomplete Cholesky preconditioners that exploit the low-rank property

Incomplete Cholesky preconditioners that exploit the low-rank property anapov@ulb.ac.be ; http://homepages.ulb.ac.be/ anapov/ 1 / 35 Incomplete Cholesky preconditioners that exploit the low-rank property (theory and practice) Artem Napov Service de Métrologie Nucléaire, Université

More information

TAU Solver Improvement [Implicit methods]

TAU Solver Improvement [Implicit methods] TAU Solver Improvement [Implicit methods] Richard Dwight Megadesign 23-24 May 2007 Folie 1 > Vortrag > Autor Outline Motivation (convergence acceleration to steady state, fast unsteady) Implicit methods

More information

A Circuit Reduction Technique for Finding the Steady-State Solution of Nonlinear Circuits

A Circuit Reduction Technique for Finding the Steady-State Solution of Nonlinear Circuits IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 48, NO. 12, DECEMBER 2000 2389 A Circuit Reduction Technique for Finding the Steady-State Solution of Nonlinear Circuits Emad Gad, Student Member,

More information

1.2 Derivation. d p f = d p f(x(p)) = x fd p x (= f x x p ). (1) Second, g x x p + g p = 0. d p f = f x g 1. The expression f x gx

1.2 Derivation. d p f = d p f(x(p)) = x fd p x (= f x x p ). (1) Second, g x x p + g p = 0. d p f = f x g 1. The expression f x gx PDE-constrained optimization and the adjoint method Andrew M. Bradley November 16, 21 PDE-constrained optimization and the adjoint method for solving these and related problems appear in a wide range of

More information

An Equivalent Circuit Formulation of the Power Flow Problem with Current and Voltage State Variables

An Equivalent Circuit Formulation of the Power Flow Problem with Current and Voltage State Variables An Equivalent Circuit Formulation of the Power Flow Problem with Current and Voltage State Variables David M. Bromberg, Marko Jereminov, Xin Li, Gabriela Hug, Larry Pileggi Dept. of Electrical and Computer

More information

From Circuit Theory, Simulation to SPICE Diego : A Matrix Exponential Approach for Time-Domain Analysis of Large-Scale Circuits

From Circuit Theory, Simulation to SPICE Diego : A Matrix Exponential Approach for Time-Domain Analysis of Large-Scale Circuits Time Stepping Re-evaluate 1 From Circuit Teory, Simulation to SPICE Diego : A Matrix Exponential Approac for Time-Domain Analysis of Large-Scale Circuits Hao Zuang, Xinyuan Wang, Quan Cen, Pengwen Cen,

More information

M.A. Botchev. September 5, 2014

M.A. Botchev. September 5, 2014 Rome-Moscow school of Matrix Methods and Applied Linear Algebra 2014 A short introduction to Krylov subspaces for linear systems, matrix functions and inexact Newton methods. Plan and exercises. M.A. Botchev

More information

Parallel Transposition of Sparse Data Structures

Parallel Transposition of Sparse Data Structures Parallel Transposition of Sparse Data Structures Hao Wang, Weifeng Liu, Kaixi Hou, Wu-chun Feng Department of Computer Science, Virginia Tech Niels Bohr Institute, University of Copenhagen Scientific Computing

More information

Modeling & Simulation 2018 Lecture 12. Simulations

Modeling & Simulation 2018 Lecture 12. Simulations Modeling & Simulation 2018 Lecture 12. Simulations Claudio Altafini Automatic Control, ISY Linköping University, Sweden Summary of lecture 7-11 1 / 32 Models of complex systems physical interconnections,

More information

Conjugate Gradient Tutorial

Conjugate Gradient Tutorial Conjugate Gradient Tutorial Prof. Chung-Kuan Cheng Computer Science and Engineering Department University of California, San Diego ckcheng@ucsd.edu December 1, 2015 Prof. Chung-Kuan Cheng (UC San Diego)

More information

A Novel Approach for Solving the Power Flow Equations

A Novel Approach for Solving the Power Flow Equations Vol.1, Issue.2, pp-364-370 ISSN: 2249-6645 A Novel Approach for Solving the Power Flow Equations Anwesh Chowdary 1, Dr. G. MadhusudhanaRao 2 1 Dept.of.EEE, KL University-Guntur, Andhra Pradesh, INDIA 2

More information

AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS

AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS Progress In Electromagnetics Research M, Vol. 23, 53 63, 2012 AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS T.-S. Nguyen *, J.-M. Guichon, O. Chadebec, G. Meunier, and

More information

EE5900 Spring Lecture 5 IC interconnect model order reduction Zhuo Feng

EE5900 Spring Lecture 5 IC interconnect model order reduction Zhuo Feng EE59 Spring Parallel VLSI CAD Algorithms Lecture 5 IC interconnect model order reduction Zhuo Feng 5. Z. Feng MU EE59 In theory we can apply moment matching for any order of approximation But in practice

More information

Numerical Methods I Solving Nonlinear Equations

Numerical Methods I Solving Nonlinear Equations Numerical Methods I Solving Nonlinear Equations Aleksandar Donev Courant Institute, NYU 1 donev@courant.nyu.edu 1 MATH-GA 2011.003 / CSCI-GA 2945.003, Fall 2014 October 16th, 2014 A. Donev (Courant Institute)

More information

A POD Projection Method for Large-Scale Algebraic Riccati Equations

A POD Projection Method for Large-Scale Algebraic Riccati Equations A POD Projection Method for Large-Scale Algebraic Riccati Equations Boris Kramer Department of Mathematics Virginia Tech Blacksburg, VA 24061-0123 Email: bokr@vt.edu John R. Singler Department of Mathematics

More information

Dynamic simulation of a coaxial magnetic gear using global ODE's and DAE s and the rotating machinery, magnetic interface

Dynamic simulation of a coaxial magnetic gear using global ODE's and DAE s and the rotating machinery, magnetic interface Dynamic simulation of a coaxial magnetic gear using global ODE's and DAE s and the rotating machinery, magnetic interface M. Ostroushko 1, W. Zhang 1, W. M. Rucker 1 1. Institute of Theory of Electrical

More information

Particle Dynamics with MBD and FEA Using CUDA

Particle Dynamics with MBD and FEA Using CUDA Particle Dynamics with MBD and FEA Using CUDA Graham Sanborn, PhD Senior Research Engineer Solver 2 (MFBD) Team FunctionBay, Inc., S. Korea Overview MFBD: Multi-Flexible-Body Dynamics Rigid & flexible

More information

Recent developments for MOR in the electronics industry

Recent developments for MOR in the electronics industry Recent developments for MOR in the electronics industry Wil Schilders Reduced Order Models in Computational Science and Engineering Aachen, January 30-31, 2014 Full proposal oc-2013-1-15312 for a new COST

More information

Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method

Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 1, JANUARY 2003 49 Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method Haitian Hu, Member, IEEE,

More information

Modeling of Thermoelastic Damping in MEMS Resonators

Modeling of Thermoelastic Damping in MEMS Resonators Modeling of Thermoelastic Damping in MEMS Resonators T. Koyama a, D. Bindel b, S. Govindjee a a Dept. of Civil Engineering b Computer Science Division 1 University of California, Berkeley MEMS Resonators

More information

A Novel Technique to Improve the Online Calculation Performance of Nonlinear Problems in DC Power Systems

A Novel Technique to Improve the Online Calculation Performance of Nonlinear Problems in DC Power Systems electronics Article A Novel Technique to Improve the Online Calculation Performance of Nonlinear Problems in DC Power Systems Qingshan Xu 1, Yuqi Wang 1, * ID, Minjian Cao 1 and Jiaqi Zheng 2 1 School

More information

An Inexact Sequential Quadratic Optimization Method for Nonlinear Optimization

An Inexact Sequential Quadratic Optimization Method for Nonlinear Optimization An Inexact Sequential Quadratic Optimization Method for Nonlinear Optimization Frank E. Curtis, Lehigh University involving joint work with Travis Johnson, Northwestern University Daniel P. Robinson, Johns

More information

Numerical Algorithms as Dynamical Systems

Numerical Algorithms as Dynamical Systems A Study on Numerical Algorithms as Dynamical Systems Moody Chu North Carolina State University What This Study Is About? To recast many numerical algorithms as special dynamical systems, whence to derive

More information

Review for Exam 2 Ben Wang and Mark Styczynski

Review for Exam 2 Ben Wang and Mark Styczynski Review for Exam Ben Wang and Mark Styczynski This is a rough approximation of what we went over in the review session. This is actually more detailed in portions than what we went over. Also, please note

More information

DC and AC modeling of minority carriers currents in ICs substrate

DC and AC modeling of minority carriers currents in ICs substrate DC and AC modeling of minority carriers currents in ICs substrate Camillo Stefanucci, Pietro Buccella, Maher Kayal and Jean-Michel Sallese Swiss Federal Institute of Technology Lausanne, Switzerland MOS-AK

More information

The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization

The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization Jia Wang, Shiyan Hu Department of Electrical and Computer Engineering Michigan Technological University Houghton, Michigan

More information

MULTIVARIABLE CALCULUS, LINEAR ALGEBRA, AND DIFFERENTIAL EQUATIONS

MULTIVARIABLE CALCULUS, LINEAR ALGEBRA, AND DIFFERENTIAL EQUATIONS T H I R D E D I T I O N MULTIVARIABLE CALCULUS, LINEAR ALGEBRA, AND DIFFERENTIAL EQUATIONS STANLEY I. GROSSMAN University of Montana and University College London SAUNDERS COLLEGE PUBLISHING HARCOURT BRACE

More information

Keeping σ fixed for several steps, iterating on µ and neglecting the remainder in the Lagrange interpolation one obtains. θ = λ j λ j 1 λ j σ, (2.

Keeping σ fixed for several steps, iterating on µ and neglecting the remainder in the Lagrange interpolation one obtains. θ = λ j λ j 1 λ j σ, (2. RATIONAL KRYLOV FOR NONLINEAR EIGENPROBLEMS, AN ITERATIVE PROJECTION METHOD ELIAS JARLEBRING AND HEINRICH VOSS Key words. nonlinear eigenvalue problem, rational Krylov, Arnoldi, projection method AMS subject

More information

Accurate Thermal Analysis Considering Nonlinear Thermal Conductivity

Accurate Thermal Analysis Considering Nonlinear Thermal Conductivity Accurate Thermal Analysis Considering Nonlinear Thermal Conductivity Anand Ramalingam 1 Frank Liu 2 Sani R. Nassif 2 David Z. Pan 1 1 Department of Electrical and Computer Engineering, The University of

More information

An Efficient Transient Electro-Thermal Simulation Framework for Power Integrated Circuits

An Efficient Transient Electro-Thermal Simulation Framework for Power Integrated Circuits 1 An Efficient Transient Electro-Thermal Simulation Framework for Power Integrated Circuits Qinggao Mei, Wim Schoenmaker, Shih-Hung Weng +, Hao Zhuang +, Chung-Kuan Cheng + and Quan Chen Abstract This

More information

DUAL REGULARIZED TOTAL LEAST SQUARES SOLUTION FROM TWO-PARAMETER TRUST-REGION ALGORITHM. Geunseop Lee

DUAL REGULARIZED TOTAL LEAST SQUARES SOLUTION FROM TWO-PARAMETER TRUST-REGION ALGORITHM. Geunseop Lee J. Korean Math. Soc. 0 (0), No. 0, pp. 1 0 https://doi.org/10.4134/jkms.j160152 pissn: 0304-9914 / eissn: 2234-3008 DUAL REGULARIZED TOTAL LEAST SQUARES SOLUTION FROM TWO-PARAMETER TRUST-REGION ALGORITHM

More information

Variational Integrators for Electrical Circuits

Variational Integrators for Electrical Circuits Variational Integrators for Electrical Circuits Sina Ober-Blöbaum California Institute of Technology Joint work with Jerrold E. Marsden, Houman Owhadi, Molei Tao, and Mulin Cheng Structured Integrators

More information

CHEE 222: PROCESS DYNAMICS AND NUMERICAL METHODS

CHEE 222: PROCESS DYNAMICS AND NUMERICAL METHODS CHEE 222: PROCESS DYNAMICS AND NUMERICAL METHODS Winter 2017 Implementation of Numerical Methods via MATLAB Instructor: Xiang Li 1 Outline 1. Introduction - Command, script and function - MATLAB function

More information

Divide and conquer algorithms for large eigenvalue problems Yousef Saad Department of Computer Science and Engineering University of Minnesota

Divide and conquer algorithms for large eigenvalue problems Yousef Saad Department of Computer Science and Engineering University of Minnesota Divide and conquer algorithms for large eigenvalue problems Yousef Saad Department of Computer Science and Engineering University of Minnesota PMAA 14 Lugano, July 4, 2014 Collaborators: Joint work with:

More information

Using AmgX to accelerate a PETSc-based immersed-boundary method code

Using AmgX to accelerate a PETSc-based immersed-boundary method code 29th International Conference on Parallel Computational Fluid Dynamics May 15-17, 2017; Glasgow, Scotland Using AmgX to accelerate a PETSc-based immersed-boundary method code Olivier Mesnard, Pi-Yueh Chuang,

More information

Parallel Polynomial Evaluation

Parallel Polynomial Evaluation Parallel Polynomial Evaluation Jan Verschelde joint work with Genady Yoffe University of Illinois at Chicago Department of Mathematics, Statistics, and Computer Science http://www.math.uic.edu/ jan jan@math.uic.edu

More information

Lecture 11: CMSC 878R/AMSC698R. Iterative Methods An introduction. Outline. Inverse, LU decomposition, Cholesky, SVD, etc.

Lecture 11: CMSC 878R/AMSC698R. Iterative Methods An introduction. Outline. Inverse, LU decomposition, Cholesky, SVD, etc. Lecture 11: CMSC 878R/AMSC698R Iterative Methods An introduction Outline Direct Solution of Linear Systems Inverse, LU decomposition, Cholesky, SVD, etc. Iterative methods for linear systems Why? Matrix

More information

Chapter Two: Numerical Methods for Elliptic PDEs. 1 Finite Difference Methods for Elliptic PDEs

Chapter Two: Numerical Methods for Elliptic PDEs. 1 Finite Difference Methods for Elliptic PDEs Chapter Two: Numerical Methods for Elliptic PDEs Finite Difference Methods for Elliptic PDEs.. Finite difference scheme. We consider a simple example u := subject to Dirichlet boundary conditions ( ) u

More information

An Accelerated Block-Parallel Newton Method via Overlapped Partitioning

An Accelerated Block-Parallel Newton Method via Overlapped Partitioning An Accelerated Block-Parallel Newton Method via Overlapped Partitioning Yurong Chen Lab. of Parallel Computing, Institute of Software, CAS (http://www.rdcps.ac.cn/~ychen/english.htm) Summary. This paper

More information

Fast 3-D Thermal Simulation for Integrated Circuits With Domain Decomposition Method

Fast 3-D Thermal Simulation for Integrated Circuits With Domain Decomposition Method 2014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 32, NO. 12, DECEMBER 2013 Fast 3-D Thermal Simulation for Integrated Circuits With Domain Decomposition Method Wenjian

More information

A multi-solver quasi-newton method for the partitioned simulation of fluid-structure interaction

A multi-solver quasi-newton method for the partitioned simulation of fluid-structure interaction A multi-solver quasi-newton method for the partitioned simulation of fluid-structure interaction J Degroote, S Annerel and J Vierendeels Department of Flow, Heat and Combustion Mechanics, Ghent University,

More information

Model Order Reduction of Electrical Circuits with Nonlinear Elements

Model Order Reduction of Electrical Circuits with Nonlinear Elements Model Order Reduction of Electrical Circuits with Nonlinear Elements Tatjana Stykel and Technische Universität Berlin July, 21 Model Order Reduction of Electrical Circuits with Nonlinear Elements Contents:

More information

ECE 422/522 Power System Operations & Planning/Power Systems Analysis II : 7 - Transient Stability

ECE 422/522 Power System Operations & Planning/Power Systems Analysis II : 7 - Transient Stability ECE 4/5 Power System Operations & Planning/Power Systems Analysis II : 7 - Transient Stability Spring 014 Instructor: Kai Sun 1 Transient Stability The ability of the power system to maintain synchronism

More information

Large scale continuation using a block eigensolver

Large scale continuation using a block eigensolver Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Lecturas en Ciencias de la Computación ISSN 1316-6239 Large scale continuation using a block eigensolver Z. Castillo RT 2006-03

More information

3-D Thermal-ADI: A Linear-Time Chip Level Transient Thermal Simulator

3-D Thermal-ADI: A Linear-Time Chip Level Transient Thermal Simulator 1434 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 12, DECEMBER 2002 3-D Thermal-ADI: A Linear-Time Chip Level Transient Thermal Simulator Ting-Yuan Wang and

More information

Computational Methods. Solving Equations

Computational Methods. Solving Equations Computational Methods Solving Equations Manfred Huber 2010 1 Solving Equations Solving scalar equations is an elemental task that arises in a wide range of applications Corresponds to finding parameters

More information

A parallel exponential integrator for large-scale discretizations of advection-diffusion models

A parallel exponential integrator for large-scale discretizations of advection-diffusion models A parallel exponential integrator for large-scale discretizations of advection-diffusion models L. Bergamaschi 1, M. Caliari 2, A. Martínez 3, and M. Vianello 3 1 Department of Mathematical Methods and

More information

Lesson 14: Van der Pol Circuit and ode23s

Lesson 14: Van der Pol Circuit and ode23s Lesson 4: Van der Pol Circuit and ode3s 4. Applied Problem. A series LRC circuit when coupled via mutual inductance with a triode circuit can generate a sequence of pulsing currents that have very rapid

More information

Sparse Matrix Computations in Arterial Fluid Mechanics

Sparse Matrix Computations in Arterial Fluid Mechanics Sparse Matrix Computations in Arterial Fluid Mechanics Murat Manguoğlu Middle East Technical University, Turkey Kenji Takizawa Ahmed Sameh Tayfun Tezduyar Waseda University, Japan Purdue University, USA

More information

Advantages, Limitations and Error Estimation of Mixed Solid Axisymmetric Modeling

Advantages, Limitations and Error Estimation of Mixed Solid Axisymmetric Modeling Advantages, Limitations and Error Estimation of Mixed Solid Axisymmetric Modeling Sudeep Bosu TATA Consultancy Services Baskaran Sundaram TATA Consultancy Services, 185 Lloyds Road,Chennai-600086,INDIA

More information

Slovak University of Technology in Bratislava Institute of Information Engineering, Automation, and Mathematics PROCEEDINGS

Slovak University of Technology in Bratislava Institute of Information Engineering, Automation, and Mathematics PROCEEDINGS Slovak University of Technology in Bratislava Institute of Information Engineering, Automation, and Mathematics PROCEEDINGS of the 18 th International Conference on Process Control Hotel Titris, Tatranská

More information

Direct Self-Consistent Field Computations on GPU Clusters

Direct Self-Consistent Field Computations on GPU Clusters Direct Self-Consistent Field Computations on GPU Clusters Guochun Shi, Volodymyr Kindratenko National Center for Supercomputing Applications University of Illinois at UrbanaChampaign Ivan Ufimtsev, Todd

More information

= V I = Bus Admittance Matrix. Chapter 6: Power Flow. Constructing Ybus. Example. Network Solution. Triangular factorization. Let

= V I = Bus Admittance Matrix. Chapter 6: Power Flow. Constructing Ybus. Example. Network Solution. Triangular factorization. Let Chapter 6: Power Flow Network Matrices Network Solutions Newton-Raphson Method Fast Decoupled Method Bus Admittance Matri Let I = vector of currents injected into nodes V = vector of node voltages Y bus

More information

SPARSE SOLVERS POISSON EQUATION. Margreet Nool. November 9, 2015 FOR THE. CWI, Multiscale Dynamics

SPARSE SOLVERS POISSON EQUATION. Margreet Nool. November 9, 2015 FOR THE. CWI, Multiscale Dynamics SPARSE SOLVERS FOR THE POISSON EQUATION Margreet Nool CWI, Multiscale Dynamics November 9, 2015 OUTLINE OF THIS TALK 1 FISHPACK, LAPACK, PARDISO 2 SYSTEM OVERVIEW OF CARTESIUS 3 POISSON EQUATION 4 SOLVERS

More information

Reduced-Hessian Methods for Constrained Optimization

Reduced-Hessian Methods for Constrained Optimization Reduced-Hessian Methods for Constrained Optimization Philip E. Gill University of California, San Diego Joint work with: Michael Ferry & Elizabeth Wong 11th US & Mexico Workshop on Optimization and its

More information

arxiv: v1 [math.na] 7 May 2009

arxiv: v1 [math.na] 7 May 2009 The hypersecant Jacobian approximation for quasi-newton solves of sparse nonlinear systems arxiv:0905.105v1 [math.na] 7 May 009 Abstract Johan Carlsson, John R. Cary Tech-X Corporation, 561 Arapahoe Avenue,

More information

Infeasibility Detection and an Inexact Active-Set Method for Large-Scale Nonlinear Optimization

Infeasibility Detection and an Inexact Active-Set Method for Large-Scale Nonlinear Optimization Infeasibility Detection and an Inexact Active-Set Method for Large-Scale Nonlinear Optimization Frank E. Curtis, Lehigh University involving joint work with James V. Burke, University of Washington Daniel

More information

Exponential integrators and functions of the matrix exponential

Exponential integrators and functions of the matrix exponential Exponential integrators and functions of the matrix exponential Paul Matthews, Stephen Cox, Hala Ashi and Linda Cummings School of Mathematical Sciences, University of Nottingham, UK Introduction to exponential

More information

A derivative-free nonmonotone line search and its application to the spectral residual method

A derivative-free nonmonotone line search and its application to the spectral residual method IMA Journal of Numerical Analysis (2009) 29, 814 825 doi:10.1093/imanum/drn019 Advance Access publication on November 14, 2008 A derivative-free nonmonotone line search and its application to the spectral

More information

The N k Problem using AC Power Flows

The N k Problem using AC Power Flows The N k Problem using AC Power Flows Sean Harnett 5-19-2011 Outline Introduction AC power flow model The optimization problem Some results Goal: find a small set of lines whose removal will cause the power

More information

Methods for Computing Periodic Steady-State Jacob White

Methods for Computing Periodic Steady-State Jacob White Introduction to Simulation - Lecture 15 Methods for Computing Periodic Steady-State Jacob White Thanks to Deepak Ramaswamy, Michal Rewienski, and Karen Veroy Outline Periodic Steady-state problems Application

More information

Numerical Integration of Equations of Motion

Numerical Integration of Equations of Motion GraSMech course 2009-2010 Computer-aided analysis of rigid and flexible multibody systems Numerical Integration of Equations of Motion Prof. Olivier Verlinden (FPMs) Olivier.Verlinden@fpms.ac.be Prof.

More information

Computing Phase Noise Eigenfunctions Directly from Steady-State Jacobian Matrices

Computing Phase Noise Eigenfunctions Directly from Steady-State Jacobian Matrices Computing Phase Noise Eigenfunctions Directly from Steady-State Jacobian Matrices Alper Demir David Long Jaijeet Roychowdhury Bell Laboratories Murray Hill New Jersey USA Abstract The main effort in oscillator

More information

One Optimized I/O Configuration per HPC Application

One Optimized I/O Configuration per HPC Application One Optimized I/O Configuration per HPC Application Leveraging I/O Configurability of Amazon EC2 Cloud Mingliang Liu, Jidong Zhai, Yan Zhai Tsinghua University Xiaosong Ma North Carolina State University

More information

Model reduction of nonlinear circuit equations

Model reduction of nonlinear circuit equations Model reduction of nonlinear circuit equations Tatjana Stykel Technische Universität Berlin Joint work with T. Reis and A. Steinbrecher BIRS Workshop, Banff, Canada, October 25-29, 2010 T. Stykel. Model

More information

B5.6 Nonlinear Systems

B5.6 Nonlinear Systems B5.6 Nonlinear Systems 5. Global Bifurcations, Homoclinic chaos, Melnikov s method Alain Goriely 2018 Mathematical Institute, University of Oxford Table of contents 1. Motivation 1.1 The problem 1.2 A

More information