EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

Size: px
Start display at page:

Download "EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm"

Transcription

1 EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm Grace H. Ho, 1 Fu-H. Kang, 1 Yu-H. Shih, 1 Hok-S. Fung, Hwang-W. Fu, Rikimaru Sakamoto, 3 Takafumi Endo, 3 Bang-C. Ho, 3 Yang-T. Huang, 4 and Bor-Y. Shew 1 Department of Applied Chemistry, National University of Kaohsiung, Nanzih, Kaohsiung 811, Taiwan. National Synchrotron Radiation Research Center, Hsinchu 311, Taiwan. 3 Electronic Materials Research Laboratories, Nissan Chemical Industries, Ltd., Tayama , Japan. 4 Department of Electronics Engineering, National Chiao Tung University, Hsinchu300, Taiwan

2 1. Introduction: EUV Resist Study Overview Optical properties (n,k,t) study by EUV reflectometer M. Chandhok, H. Cao, W. Yueh, E. Gullikson, R. Brainard, S. Robertson, Techniques for directly measuring the absorbance of photoresists at EUV wavelengths, Proc. of SPIE, 5374 (004) 863. Y. Kwark, J. P. Bravo-Vasquez, M. Chandhok, H. Deng, E. Gullikson, C. K. Ober, Absorbance measurement of polymers at extreme ultraviolet wavelength Correlation between experimental and theoretical calculations J. Vac. Sci. Technol. B 4 (006) 18.

3 1. Introduction: EUV Resist Study Overview Optical properties (n,k,t) by X-ray diffractometer Material study: D.J. Guerrero, C. Beaman, R. Sakamoto, T. Endo, B. Ho, Organic underlayers for EUV lithography, J. Photopolym. Sci. Technol. Vol. 1(008) 451. Quantum yield study: R. Brainard, C. Higgins, E. Hassanein, R. Matyi, A. Wuest, Film quantum yirlds od ultrahigh PAG EUV photoresists, J. Photopolym. Sci. Technol. Vol. 1(008) 457.

4 1. Introduction: EUV reflectometer for R&D Examples: (a) NIST/DARPA (c) PTB (b) ALS (a) (b) (c)

5 1. Introduction: EUV Photochemistry PMMA ablation 0.1 nm per EUV pulse at energy densities of 13 mj/cm F. Barkusky, C. Peth, A. Bayer, K. Mann, Direct photo-etching of poly methyl methacrylate using focused extreme ultraviolet radiation from a table-top laser-induced plasma source J. Appl. Phys. 101, 14908(007)

6 . Experimental: Reflectometer EUV Reflectometer of NSRRC (θ) (θ) Design detail: Poster Compact and ultrahighvacuum reflectometer for EUVL applications (MET-6)

7 . Experimental: Reflectometer Reflectivity measurement Accumulated dose vs. θ: Fresh sample Measuring the evolution of film thickness as a function of the accumulated dose Over-exposed sample - Dose independent film thickness

8 . Experimental: Samples Novolac-type underlayer material (UL) PMMA Round-robin : Composition:

9 . Experimental: n, k, T Empirical method: n : First derivative at the critical angle, k : CXRO formula Absorbance ( Dill B) = T : λ = nd cos( β 1 β ) Simulation method (Panalytical X Pert): Input: Photoabsorption cross section in cm g -1, chemical formula, scattering factor (f 1, f ) Output: Density (n, k),t n sinθ = vaccum 4π λ critical β n film β r0 n π λ R s a x i f sinθ = sinθ + i n n cos θ cos θ n =1 δ + iβ

10 3. Results: Measurement precision in a six-month period: ±9% Benchmarking results: absorbance Absorbance (um -1 ) Samples 009-Feb 008-Nov 009-May This work NISSAN CHEMICAL INDUSTRIES, LTD. EUV リソグラフィー用レジスト下層膜の開発

11 3. Results: Film integrity upon EUV radiation UL-novolac polymer: stable Round-robin resist: reactive Fresh UL D (g/cm 3 ) T (nm) Multiple exposure Fresh Over-exposed Satisfactory simulation results. Fresh = over-exposed No observable n change Thickness evolution

12 3. Results: Film integrity upon EUV radiation ½ flux,fresh ¼ flux fresh Fresh Overexposed Literature ½ flux,fresh ¼ flux fresh Fresh Overexposed Literature d (g/cm 3 ) k (um -1 ) d (g/cm 3 ) k (um -1 ) PMMA Round-robin Refractive index & absorbance stay constant against dose. Absorbance: PMMA s is ~ 0% greater than round-robin s. Ablation rate: PMMA s > round-robin s by more than 0%.

13 3. Results: Thickness evolution upon 13.5 nm irradiation Round-robin Stated thickness (nm) Fresh (nm) Overexposed (nm) T (nm) Profilometer result (nm) Profilometer measurement of the over-exposed sample Etch rate: (0.04±0.01) nm cm mj -1

14 3. Results: Thickness evolution upon 13.5 nm irradiation PMMA Etch rate : 0.13 nm cm mj -1 c.f. F. Barkusky, C. Peth, A. Bayer, K. Mann, Direct photo-etching of poly methyl methacrylate using focused extreme ultraviolet radiation from a table-top laser-induced plasma source J. Appl. Phys. 101, (007) 0.1 nm per EUV pulse at energy densities of 13 mj cm - Etch rate = nm cm mj -1 (derive from high dose)

15 1. Introduction: EUV Photochemistry PMMA ablation 0.1 nm per EUV pulse at energy densities of 13 mj/cm F. Barkusky, C. Peth, A. Bayer, K. Mann, Direct photo-etching of poly methyl methacrylate using focused extreme ultraviolet radiation from a table-top laser-induced plasma source J. Appl. Phys. 101, 14908(007)

16 4. Briefly summary EUV reflectometer is an in situ and actinic metrology tool to study EUV photonic effects on resists and underlayer materials. - Stability of thin-film samples upon EUV irradiation UL (novolac type) sample is EUV stable. PMMA and round-robin resist are not EUV stable. - The EUV ablation rate can be determined. 15 nm round-robin resist ~ (0.04±0.01) nm cm mj nm PMMA resist ~ 0.13 nm cm mj -1

17 Acknowledgement National Science Council NSC M Nissan Chemical Ind., Ltd. NUK

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 EUV ablation C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 1 Hilase Center, Dolni Brezany, Czech Republic 2 Czech Technical University, Prague,

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

RLS Trade-Off: Questions about Molecular Size and Quantum Yield RLS Trade-Off: Questions about Molecular Size and Quantum Yield Robert Brainard and Craig Higgins Supported by SEMATECH and Rohm and Haas 10/2/08 1 Outline I. Introduction II. III. IV. Effect of Molecular

More information

Polymer Matrix Effects on EUV Acid Generation

Polymer Matrix Effects on EUV Acid Generation Polymer Matrix Effects on EUV Acid Generation Theodore H. Fedynyshyn, Russell B. Goodman, and Jeanette Roberts # Lincoln Laboratory Massachusetts Institute of Technology # Intel Corporation The Lincoln

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 501 505 2015SPST Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

More information

Is an EUV Film Quantum Yield of 30 Possible?

Is an EUV Film Quantum Yield of 30 Possible? Is an EUV Film Quantum Yield of 30 Possible? February 21, 2016 Resist TWG San Jose, CA Greg Denbeaux, Amrit Narasimhan, Steven Grzeskowiak, and Robert L. Brainard SUNY Polytechnic Institute Resist TWG

More information

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Damage to Molecular Solids Irradiated by X-ray Laser Beam WDS'11 Proceedings of Contributed Papers, Part II, 247 251, 2011. ISBN 978-80-7378-185-9 MATFYZPRESS Damage to Molecular Solids Irradiated by X-ray Laser Beam T. Burian, V. Hájková, J. Chalupský, L. Juha,

More information

Takeo Watanabe Center for EUVL, University of Hyogo

Takeo Watanabe Center for EUVL, University of Hyogo Soft X-ray Absorption Spectroscopy using SR for EUV Resist Chemical Reaction Analysis Takeo Watanabe Center for EUVL, University of Hyogo Outline 1) Background 2) Princple of X-ray absorption spectroscopy

More information

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis Study of Shot Noise in EUV Resists through EUV and E-Beam Comparative LER Analysis Suchit Bhattarai a), Andrew R. Neureuther Department of Electrical Engineering and Computer Sciences, UC Berkeley, Berkeley,

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Measurement of the role of secondary electrons in EUV resist exposures

Measurement of the role of secondary electrons in EUV resist exposures Measurement of the role of secondary electrons in EUV resist exposures June 12, 213 International Workshop on EUV Lithography Greg Denbeaux a, Justin Torok, a Ryan Del Re, a Henry Herbol, a Sanjana Das,

More information

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography Journal of Photopolymer Science and Technology Volume 7, Number () 9 SPST Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Impact of Polymerization Process and OOB on Lithographic Performance of a EUV Resist

Impact of Polymerization Process and OOB on Lithographic Performance of a EUV Resist Impact of Polymerization Process and B on Lithographic Performance of a EUV Resist Vipul Jain* a, Suzanne M Coley a, Jung June Lee b, Matthew D Christianson c, Daniel J Arriola c, Paul LaBeaume a, Maria

More information

Application of Stochastic Modeling to Resist Optimization Problems

Application of Stochastic Modeling to Resist Optimization Problems Application of Stochastic Modeling to Resist Optimization Problems John J. Biafore, Mark D. Smith KLA-Tencor, FINLE Division, North Capital of Texas Highway, Austin, TX 779 BACKGROUND: Modifying specific

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

COST MP0601 Short Wavelength Laboratory Sources

COST MP0601 Short Wavelength Laboratory Sources Background: Short wavelength radiation has been used in medicine and materials studies since immediately after the 1895 discovery of X-rays. The development of synchrotron sources over the last ~25 years

More information

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks David Nijkerk, Norbert Koster, Eddy van Brug and Diederik Maas* TNO Science and Industry, Stieltjesweg

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity Multilayer Interference Coating, Scattering, Diffraction, Reflectivity mλ = 2d sin θ (W/C, T. Nguyen) Normal incidence reflectivity 1..5 1 nm MgF 2 /Al Si C Pt, Au 1 ev 1 ev Wavelength 1 nm 1 nm.1 nm Multilayer

More information

Exposure strategies for polymethyl methacrylate from in situ x-ray absorption near edge structure spectroscopy

Exposure strategies for polymethyl methacrylate from in situ x-ray absorption near edge structure spectroscopy Exposure strategies for polymethyl methacrylate from in situ x-ray absorption near edge structure spectroscopy X. Zhang, a) C. Jacobsen, S. Lindaas, and S. Williams b) Department of Physics, State University

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives

Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives Evangelos Gogolides and Panagiotis Argitis Institute of Microelectronics, NCSR Demokritos, Aghia Paraskevi 15310,

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

High sensitivity nanocomposite resist materials for X-ray and EUV Lithography

High sensitivity nanocomposite resist materials for X-ray and EUV Lithography High sensitivity nanocomposite resist materials for X-ray and EUV Lithography Mohammad Azam Au1, Kenneth E. Gonsalves', N. Batina'V. Golovkina3 and F. Cerrina3. 1Department of Chemistry & NanoTech. Research

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Determination of Optical Constants of Thin Films in Extreme Ultraviolet Wavelength Region by an Indirect Optical Method

Determination of Optical Constants of Thin Films in Extreme Ultraviolet Wavelength Region by an Indirect Optical Method Journal of the Optical Society of Korea Vol. 17, No. 1, February 013, pp. 38-43 DOI: http://dx.doi.org/10.3807/josk.013.17.1.038 Determination of Optical Constants of Thin Films in Extreme Ultraviolet

More information

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry D. Frank Ogletree Molecular Foundry, Berkeley Lab Berkeley CA USA Our Berkeley Lab Team EUV Lithography and Pattern

More information

High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures

High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures M.Y. Chiu, C.-H. Chang, F.-Y. Chang, and Peichen Yu, Green Photonics Laboratory Department of Photonics National

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography MA-P18 7 EUVL Symposium Design of Attenuated Phase-shift shift Mask with Absorber for Extreme Ultraviolet Lithography Hee Young Kang and Chang Kwon Hwangbo Department of Physics, Inha University, Incheon

More information

Scattering by a Multi-Electron Atom, Atomic Scattering Factors; Wave Propagation and Refractive Index

Scattering by a Multi-Electron Atom, Atomic Scattering Factors; Wave Propagation and Refractive Index Scattering by a Multi-Electron Atom, Atomic Scattering Factors; Wave Propagation and Refractive Index David Attwood University of California, Berkeley (http://www.coe.berkeley.edu/ast/srms) Scattering

More information

Enhanced Transmission by Periodic Hole. Arrays in Metal Films

Enhanced Transmission by Periodic Hole. Arrays in Metal Films Enhanced Transmission by Periodic Hole Arrays in Metal Films K. Milliman University of Florida July 30, 2008 Abstract Three different square periodic hole arrays were manufactured on a silver film in order

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material

Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material Journal of Photopolymer Science and Technology Volume 30, Number 1 (2017) 103-107 C 2017SPST Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material Mari Fukunaga

More information

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography MCROU,!~'O~C ELSEVER Microelectronic Engineering 46 (1999) 173-177 Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography DUV Holographic L. A. Wang*, C. H. Lin and J. H. Chen nstitute

More information

Initial Results on the Feasibility of Hybrid X-Ray Microscopy

Initial Results on the Feasibility of Hybrid X-Ray Microscopy CHINESE JOURNAL OF PHYSICS VOL. 43, NO. 5 OCTOBER 2005 Initial Results on the Feasibility of Hybrid X-Ray Microscopy P. K. Tseng, 1 W. F. Pong, 1 C. L. Chang, 1 C. P. Hsu, 1 F. Y. Lin, 2 C. S. Hwang, 2

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application Kousuke Nakajima, Toshihide Nakajima, Yoshiyuki Owari OHARA Incorporated 3 rd International

More information

Supporting Information

Supporting Information Supporting Information Remarkable Photothermal Effect of Interband Excitation on Nanosecond Laser-induced Reshaping and Size Reduction of Pseudo-spherical Gold Nanoparticles in Aqueous Solution Daniel

More information

Extreme ultraviolet induced chemical reactions in photoresists and model systems

Extreme ultraviolet induced chemical reactions in photoresists and model systems Extreme ultraviolet induced chemical reactions in photoresists and model systems S. Castellanos Advanced Research Center for Nanolithography 2017 EUVL workshop, June 12-15 List of contributors ARCNL: EUV

More information

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics

More information

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Suchit Bhattarai Electrical Engineering and Computer Sciences University of California at Berkeley

More information

High Yield Structured X-ray Photo-Cathode Development and Fabrication

High Yield Structured X-ray Photo-Cathode Development and Fabrication High Yield Structured X-ray Photo-Cathode Development and Fabrication K. Opachich, P. Ross, J. Koch (NSTec, LLC) A. MacPhee, O. Landen, D. Bradley, P. Bell, S. Nagel (LLNL) T. Hilsabeck (GA) N. Chen, S.

More information

Multilayer Optics, Past and Future. Eberhard Spiller

Multilayer Optics, Past and Future. Eberhard Spiller Multilayer Optics, Past and Future Eberhard Spiller 1 Imaging with light Waves move by λ in 10-15 to 10-19 sec Wave trains are 10-14 to 10-18 sec long Each wavelet contains less than 1 photon Eye responds

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

Seiichi Tagawa, Satoshi Enomoto, Akihiro Oshima

Seiichi Tagawa, Satoshi Enomoto, Akihiro Oshima Time Resolved Spectroscopy of Nanoparticle EUV Photoresists Reactions of HfO nanoparticles with electrons and the following solubility change studied by pulse radiolysis Seiichi Tagawa, Satoshi Enomoto,

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS Technical Paper Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 617-624 1993TAPJ FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS YASUO NARA, YosHIHto SUGITA,

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Alignment of Liquid Crystals by Ion Etched Grooved Glass Surfaces. Yea-Feng Lin, Ming-Chao Tsou, and Ru-Pin Pan

Alignment of Liquid Crystals by Ion Etched Grooved Glass Surfaces. Yea-Feng Lin, Ming-Chao Tsou, and Ru-Pin Pan CHINESE JOURNAL OF PHYSICS VOL. 43, NO. 6 DECEMBER 2005 Alignment of Liquid Crystals by Ion Etched Grooved Glass Surfaces Yea-Feng Lin, Ming-Chao Tsou, and Ru-Pin Pan Department of Electrophysics, National

More information

Direct write electron beam patterning of DNA complex thin films

Direct write electron beam patterning of DNA complex thin films Direct write electron beam patterning of DNA complex thin films R. A. Jones, W. X. Li, H. Spaeth, and A. J. Steckl a Nanoelectronics Laboratory, University of Cincinnati, Cincinnati, Ohio 45221-0030 Received

More information

High Yield Structured X-ray Photo-Cathode Development and Fabrication

High Yield Structured X-ray Photo-Cathode Development and Fabrication High Yield Structured X-ray Photo-Cathode Development and Fabrication K. Opachich 1, P. Ross 1, J. Koch 1, A. MacPhee 2, O. Landen 2, D. Bradley 2, P. Bell 2, S. Nagel 2, T. Hilsabeck 4, N. Chen 5, S.

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

An analysis of double exposure lithography options

An analysis of double exposure lithography options An analysis of double exposure lithography options Saul Lee a, Je rey Byers b, Kane Jen a, Paul Zimmerman b, Bryan Rice b, Nicholas J. Turro c, and C. Grant Willson a a Department of Chemical Engineering,

More information

Measuring the EUV-induced contamination rates of TiO 2 -capped multilayer optics by anticipated production-environment hydrocarbons

Measuring the EUV-induced contamination rates of TiO 2 -capped multilayer optics by anticipated production-environment hydrocarbons Measuring the EUV-induced contamination rates of TiO 2 -capped multilayer optics by anticipated production-environment hydrocarbons S. B. Hill * a, N. S. Faradzhev b, C. S. Tarrio a, and T. B. Lucatorto

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Schemes to generate entangled photon pairs via spontaneous parametric down conversion

Schemes to generate entangled photon pairs via spontaneous parametric down conversion Schemes to generate entangled photon pairs via spontaneous parametric down conversion Atsushi Yabushita Department of Electrophysics National Chiao-Tung University? Outline Introduction Optical parametric

More information

Customized EUV optics made by optix fab

Customized EUV optics made by optix fab Customized EUV optics made by optix fab Information about optix fab product portfolio Torsten Feigl Jena, January 2015 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights

More information

Table-top EUV/Soft X-ray Source and Wavefront Measurements at Short Wavelengths

Table-top EUV/Soft X-ray Source and Wavefront Measurements at Short Wavelengths Table-top EUV/Soft X-ray Source and Wavefront Measurements at Short Wavelengths K. Mann J.O. Dette, F. Kühl, U. Leinhos, M. Lübbecke, T. Mey, M. Müller, M. Stubenvoll, J. Sudradjat, B. Schäfer Laser-Laboratorium

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

High intensity EUV and soft X-ray X plasma sources modelling

High intensity EUV and soft X-ray X plasma sources modelling High intensity EUV and soft X-ray X plasma sources modelling Sergey V. Zakharov +, Vasily S. Zakharov +,Peter Choi, Alex Yu. Krukovskiy, Vladimir G. Novikov, Anna D. Solomyannaya NANO UV sas EPPRA sas

More information

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Molecular Organometallic Resists for EUV (MORE) October 6, 2013 Molecular Organometallic Resists for EUV (MORE) October 6, 2013 Brian Cardineau, 1 James Passarelli, 1 Miriam Sortland, 1 Ryan Del Re, 1 Westly Tear, 1 Hashim Al-Mashat, 2 Miles Marnell, 2 Kara Heard,

More information

Opportunities for Advanced Plasma and Materials Research in National Security

Opportunities for Advanced Plasma and Materials Research in National Security Opportunities for Advanced Plasma and Materials Research in National Security Prof. J.P. Allain allain@purdue.edu School of Nuclear Engineering Purdue University Outline: Plasma and Materials Research

More information

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, and E. Gogolides a) Institute of Microelectronics,

More information

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Complex refractive-index measurement based on Fresnel s equations and the uses of heterodyne interferometry

Complex refractive-index measurement based on Fresnel s equations and the uses of heterodyne interferometry Complex refractive-index measurement based on Fresnel s equations and the uses of heterodyne interferometry Ming-Horng Chiu, Ju-Yi Lee, and Der-Chin Su The phase difference between s and p polarization

More information

Modeling and Simulation of Line Edge Roughness for EUV Resists

Modeling and Simulation of Line Edge Roughness for EUV Resists JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE VOL.4 NO. FEBRUARY 24 http://dx.doi.org/.5573/jsts.24.4..6 Modeling and Simulation of Line Edge Roughness for EUV Resists SangKon Kim Abstract With the extreme

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015 Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance February 22, 2015 1 Participation / Contacts Exogenesis Corporation, ANAB Technology Sean Kirkpatrick, Son

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information

24th Symposium on Plasma Science for Materials (SPSM-24) - Keynote

24th Symposium on Plasma Science for Materials (SPSM-24) - Keynote 24th Symposium on Plasma Science for Materials (SPSM-24) - Keynote Electron-Spin Resonance (ESR) Analysis of Plasma-Surface Interaction Kenji Ishikawa, Naoya Sumi, *Akihiko Kono, *ideo oribe, Keigo Takeda,

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information