Polymer Matrix Effects on EUV Acid Generation

Size: px
Start display at page:

Download "Polymer Matrix Effects on EUV Acid Generation"

Transcription

1 Polymer Matrix Effects on EUV Acid Generation Theodore H. Fedynyshyn, Russell B. Goodman, and Jeanette Roberts # Lincoln Laboratory Massachusetts Institute of Technology # Intel Corporation The Lincoln Laboratory portion of this work was performed under a Cooperative Research and Development Agreement between and Intel Corporation. pinions, interpretations, conclusions, and recommendations are those of the authors, and do not necessarily represent the view of the United States Government. EUVL

2 Acid Generation Does the polymer matrix affect acid generation? Acid generation is the product of photon absorption and quantum yield PAG absorbance often drives the acid generation rates Sensitization of the PAG can increase acid generation rates Longer wavelength absorbers sensitize by energy transfer Electron rich polymers can sensitize by energy transfer EUV can yield Auger electrons and secondary electrons Shorter lithographic wavelengths have higher polymer absorbance Greater than 95% of energy absorbed in the polymer Polymer photochemistry is greatly increased PAG photochemistry may be influenced by polymer photochemistry Study the effect of the polymer matrix on PAG decomposition Test different polymers with different absorbances at EUV EUVL

3 Acid Generation Available acid Resist sensitivity is a convolution of many factors Acid generation efficiency Concentration of PAG Concentration of base Polymer deprotection Strength of photogenerated acid Kinetic reaction rate for polymer deprotection Polymer dissolution Concentration of protecting groups Dissolution rate of deprotected polymers In dissimilar polymer systems cannot use E 0 to predict available acid Dill s C value and quantum efficiency allow direct comparisons EUVL

4 EUV Polymer Sensitization Determine Dill C value with different polymer matrixes Employ standard addition method of Szmanda & Pawloski Requires contrast curve measurements (imaging polymers) Six resists employed for each polymer tested Level of base varied from 0.0 to 0.25 molar ratio to PAG Clearing dose (E 0 ) measured for each resist Linear relationship between base molar ratio and E 0 Slope of linear relationship gives the Dill C value Correction for resist absorbance (a) required (a = A Ln x D) C = slope x (a / (1-e -a )) EUVL

5 Measurements of Acid Generation [H + ] = [PAG]e -CE Dill s C parameter is the kinetic rate constant for photoactive species in resists C = kinetic rate constant [H + ] = concentration of acid [PAG] = concentration of PAG E = incident energy dose (exposure tool friendly) Φ = CN a hc / aλ(mw) Quantum efficiency is the ratio between acid molecules generated and number of photons absorbed (chemist friendly) N a = Avogadro number, MW = PAG molecular weight h = Planck s constant, c= speed of light a = PAG absorbance, λ = wavelength Works best if non-absorbing polymer matrix EUVL

6 EUV Polymer Sensitization Determine if EUV polymer absorbance is directly related to acid generation The polymer matrix can affect acid generation efficiency in EUV Polymer absorbance of EUV photons can generate low ev electrons Low ev electrons can convert PAG to photoacid Degree of polymer sensitization depends on energy transfer mechanism Effect should be controlled by polymer absorbance at EUV (13.4 nm) Four resist polymers selected with calculated EUV absorbences (base e) ESCAP copolymer (4.61) and terpolymer (4.34) Methacrylate copolymer (4.77) FESCAP copolymer (12.58) EUVL

7 Initial Polymers 248-nm ESCAP & FESCAP Polymers H H HST:TBA (E1) HST:ST:TBA (J) 193-nm Methacrylate Polymer & 157-nm FESCAP Polymer F 3 C CF 3 MAdMA:GBLMA (A2) HFIPST:TBA (F1) H EUVL

8 EUV Polymer Sensitization Poly-J is an ESCAP terpolymer of 65:20:15 HST:ST:TBA EUV Resist (Poly-J + DTBPI-PFBS) y = x R= Resist absorbance at 13.4 nm calculated to be 4.34 (base e) Slope of linear fit is with R =.999 Dill C calculated to be cm 2 /mj Molar Ratio H E 0 (mj/cm 2 ) EUVL

9 EUV Polymer Sensitization Poly-E is an ESCAP copolymer of 60:40 HST:TBA EUV Resist (Poly-E + DTBPI-PFBS) y = x R= Resist absorbance at 13.4 nm calculated to be 4.61 (base e) Slope of linear fit is with R =.994 Dill C calculated to be cm 2 /mj Molar Ratio H E 0 (mj/cm 2 ) EUVL

10 EUV Polymer Sensitization Poly-A2 is a methacrylate copolymer of 50:50 MAdMA:GBLMA EUV Resist (Poly-A2 + DTBPI-PFBS) y = x R= Resist absorbance at 13.4 nm calculated to be 4.77 (base e) Slope of linear fit is with R =.977 Dill C calculated to be cm 2 /mj Molar Ratio E 0 (mj/cm 2 ) EUVL

11 EUV Polymer Sensitization Poly-F is an FESCAP copolymer of 60:40 HFIPST:TBA EUV Resist (Poly-F1 + DTBPI-PFBS) y = x R= Resist absorbance at 13.4 nm calculated to be (base e) Slope of linear fit is with R =.988 Dill C calculated to be cm 2 /mj Molar Ratio F 3 C CF H E 0 (mj/cm 2 ) EUVL

12 EUV Polymer Sensitization (2006) Dill C v. Absorbance No simple relationship between polymer absorbance and EUV sensitivity for polymers Methacrylate Polymer FESCAP Polymer Is methacrylate polymer different due to possibility of polymer chain scission photochemistry? Is the aromatic polymer different due to possibility of radical stabilization? Dill C (cm 2 /mj) ESCAP Polymers Additional polymers must be investigated Absorbance (μm -1 ) EUVL

13 Aromatic Polymers H X Y ESCAP Copolymers Polymer HST TBA Poly-E Poly-E Poly-E ESCAP Terpolymers H X Y Z Polymer HST ST TBA Poly-J Poly-T Poly-D Varying the monomer ratios between hydroxystyrene, styrene, and t-butyl acrylate to determine difference in acid generation ability EUVL

14 Non-Aromatic Polymers Fluoro(meth)acrylate Polymers F 2 C CF F 2 C CF H 2 C 65 C 35 CF 3 H F 3 C H CF 3 CF 3 TFE:NbFH:TBA (F2) FUGU:MAdMA (F5) Methacrylate Polymer H EAdMA:GBLMA:HAdMA (A3) EUVL

15 EUV Polymer Sensitization Polymer Absorbance (µm -1 ) Dill C (cm 2 /mj) E 0 (mj/cm 2 ) Poly-E Poly-E Poly-E Poly-J Poly-T Poly-D Poly-A Poly-A Poly-F Poly-F Poly-F E 0 values for 0.2 molar base EUVL

16 EUV Polymer Sensitization (2006) Relationship between polymer absorbance and EUV sensitivity for acrylate based polymers Dill C v. Absorbance Methacrylate Polymer 0.2 Different relationship between polymer absorbance and EUV 0.15 sensitivity for methacrylate based polymers due to 0.1 polymer chain scission photochemistry 0.05 Presence of the aromatic 0 group may also be key Dill C (cm 2 /mj) Acrylate Polymer y = x R= y = x R= Absorbance (μm -1 ) EUVL

17 EUV Polymer Sensitization (2007) Linear relationship between (meth)acrylate level and Dill C for hydrocarbon polymers or inverse relationship with aromatic content Fluoropolymer has increased Dill C at similar acrylate levels but no linear relationship Dill C (cm 2 /mj) Dill C v. Acrylate Content Fluoroacrylate Polymer Acrylate Polymer Absorbance increase of X gives a Dill C increase of X for comparable acrylate levels 0.05 y = x R= Acrylate (%) EUVL

18 EUV Polymer Sensitization (2007) Relationship between polymer type and EUV sensitivity Linear inverse relationship between aromatic content and Dill C Fluoropolymer increase Dill C at similar aromatic content by ~0.1 Absorbance increase of ~3.0X gives a Dill C increase of ~3.3X for ESCAP v. fluoropolymer Dill C (cm 2 /mj) Dill C v. Aromatic Content Fluoroacrylate Polymer y = x R= Acrylate Polymer y = x R= Aromatic (%) EUVL

19 Polymer Properties and IMR CEFFICIENTS P TERM CNSTANT Absorbance Aromatic N trials = 12 N terms = 3 Residual SD = Residual DF = 9 R Squared = 0.945, P= *** ECHIP software final model containing only absorbance and percent aromatic terms Aromatic (%) ECHIP Predicted Dill C (cm 2 /mj) Absorbance (µm -1 ) Dill C predicted by both absorbance and aromatic content in polymer Linear model has > 99% confidence level with no interaction term EUVL

20 EUV Polymer Sensitization Apparent relationship between E 0 and Dill C Acid generation efficiency is related to resist sensitivity PAG and base amount constant for all polymers and will not affect resist sensitivity Dill C (cm 2 mj) Correlation Clearing Dose v. Dill C ESCAP Copolymer ESCAP Terpolymer Methacrylate Fluoropolymer Polymer deprotection 0.05 kinetics and dissolution kinetics will also strongly 0 influence the resist E E 0 (mj/cm 2 ) EUVL

21 EUV Polymer Sensitization Apparent power law relationship between E 0 and Dill C Dill C = constant + E 0-1 Increasing Dill C leads to a marginally decreasing increase in resist sensitivity Many other factors influence resist sensitivity Dill C (cm 2 mj) Correlation Clearing Dose v. Dill C ESCAP Copolymer ESCAP Terpolymer Methacrylate Fluoropolymer All Polymers PAG and base amounts y = * x^( ) R= Level of polymer protection Polymer deprotection and dissolution kinetics E 0 (mj/cm 2 ) EUVL

22 Proposed Sensitization Mechanism Photochemical PAG Decomposition hυ I X I X.. proton abstraction I. IH. XH X CH 3 Polymer Photochemistry leading to radical transfer CH 3. H. R Unstabilized polymer radical Stabilized polymer radical EUVL

23 Summary EUV Polymer Sensitization Polymer sensitization is important for acid generation with EUV exposure Increase in Dill C value can be up to 5 times with selected polymers Increase in resist sensitivity of up to 5 times is possible How excited would you be with a 5X increase in laser output? Polymer absorbance can be used to increase acid generation efficiency Linear relationship between polymer absorbance and Dill C value Polymer generated secondary electrons converting PAG to acid Higher polymer absorbance can be tolerated at the 32 and 22 nm nodes A 70 nm thick film give an.d. of 0.4 with fluoropolymer (A = 5.5 to 6.0) Aromatic content of the polymer will lower the Dill C value Radical stabilization of polymer generated secondary electrons Non-aromatic fluoropolymers will give the highest sensitivity EUV resists EUVL

24 Acknowledgments Support: Andrew Rudack, Matt Malloy, and Cecilia Montgomery of Sematech North for EUV exposures and measurements Materials: Dupont Electronic Polymers for supplying polymers Asahi Glass for supplying polymers Toyo Gosei Kogyo for supplying PAGs The Lincoln Laboratory portion of this work was performed under a Cooperative Research and Development Agreement between and Intel Corporation. pinions, interpretations, conclusions, and recommendations are those of the authors, and do not necessarily represent the view of the United States Government. EUVL

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

Is an EUV Film Quantum Yield of 30 Possible?

Is an EUV Film Quantum Yield of 30 Possible? Is an EUV Film Quantum Yield of 30 Possible? February 21, 2016 Resist TWG San Jose, CA Greg Denbeaux, Amrit Narasimhan, Steven Grzeskowiak, and Robert L. Brainard SUNY Polytechnic Institute Resist TWG

More information

Effect of PAG Location on Resists for Next Generation Lithographies

Effect of PAG Location on Resists for Next Generation Lithographies Effect of PAG Location on Resists for Next Generation Lithographies ber Research Group Materials Science & Engineering Ithaca, NY 14853 Development Trends in Microlithography 10 Contact Printer Architectures

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

RLS Trade-Off: Questions about Molecular Size and Quantum Yield RLS Trade-Off: Questions about Molecular Size and Quantum Yield Robert Brainard and Craig Higgins Supported by SEMATECH and Rohm and Haas 10/2/08 1 Outline I. Introduction II. III. IV. Effect of Molecular

More information

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Robert Bartynski Sylvie Rangan Department of Physics & Astronomy and Laboratory for Surface Modification

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Radical Initiation 2017/2/ ) Thermal Decomposition of Initiators

Radical Initiation 2017/2/ ) Thermal Decomposition of Initiators adical Initiation Production of radicals (from initiator) to initiate chain polymerization. A variety of initiator systems can be used to bring about the radical polymerization. 1) Thermal Decomposition

More information

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST Optimizing RLS Seiichi Tagawa The Institute of Scientific and Industrial Research Osaka University and JST-CREST IEUVI Resist TWG October 2, 2008 Acknowledgement Prof. Takahiro Kozawa Dr. Akinori Kozawa

More information

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm Grace H. Ho, 1 Fu-H. Kang, 1 Yu-H. Shih, 1 Hok-S. Fung, Hwang-W. Fu, Rikimaru

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

Single Layer Fluoropolymer Resists for 157 nm Lithography

Single Layer Fluoropolymer Resists for 157 nm Lithography Single Layer Fluoropolymer Resists for 157 nm Lithography M. K. Crawford *, W. B. Farnham, A. E. Feiring, J. Feldman, R. H. French, K. W. Leffew, V. A. Petrov, W. Qiu, F. L. Schadt III, H.V. Tran, R. C.

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Application of Stochastic Modeling to Resist Optimization Problems

Application of Stochastic Modeling to Resist Optimization Problems Application of Stochastic Modeling to Resist Optimization Problems John J. Biafore, Mark D. Smith KLA-Tencor, FINLE Division, North Capital of Texas Highway, Austin, TX 779 BACKGROUND: Modifying specific

More information

CHARGED PARTICLE INTERACTIONS

CHARGED PARTICLE INTERACTIONS CHARGED PARTICLE INTERACTIONS Background Charged Particles Heavy charged particles Charged particles with Mass > m e α, proton, deuteron, heavy ion (e.g., C +, Fe + ), fission fragment, muon, etc. α is

More information

A fluorescent tube is filled with mercury vapour at low pressure. After mercury atoms have been excited they emit photons.

A fluorescent tube is filled with mercury vapour at low pressure. After mercury atoms have been excited they emit photons. Q1.(a) A fluorescent tube is filled with mercury vapour at low pressure. After mercury atoms have been excited they emit photons. In which part of the electromagnetic spectrum are these photons? What is

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography Journal of Photopolymer Science and Technology Volume 7, Number () 9 SPST Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

More information

Molecular Glass Resist with Organic Developer

Molecular Glass Resist with Organic Developer 1 Molecular Glass Resist with Organic Developer James M. Blackwell 1,2 Armando Ramirez 1 Hiroki Nakagawa 1,3 Yoshi Hishiro 3 1 Intel's Molecules for Advanced Patterning(MAP) Program, LBNL Molecular Foundry,

More information

Takeo Watanabe Center for EUVL, University of Hyogo

Takeo Watanabe Center for EUVL, University of Hyogo Soft X-ray Absorption Spectroscopy using SR for EUV Resist Chemical Reaction Analysis Takeo Watanabe Center for EUVL, University of Hyogo Outline 1) Background 2) Princple of X-ray absorption spectroscopy

More information

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2010 Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

More information

QsT/ Recently, chemically amplified EUV photoresists have been developed which

QsT/ Recently, chemically amplified EUV photoresists have been developed which , i -..,. Polvmer. Effects on Acid Generation Efficiency Using EUV and DUV Exposures - The mbmitkd amnuaaripihas b Paul Dentinger,* Robert L. Brainard,2 Joseph F. Mackevich,2 Jeffrey M. Guevremont? and

More information

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 501 505 2015SPST Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004 Development of Photosensitive Polyimides for LCD with High Aperture Ratio May 24, 2004 utline Why is polymer dielectric required for TFT LCD? Requirements of the polymer dielectrics What is polyimide?

More information

THE ROLE OF ENERGY TRANSFER IN THE

THE ROLE OF ENERGY TRANSFER IN THE THE ROLE OF ENERGY TRANSFER IN THE STABILIZATION OF POLYMERS Department of Chemistry. University of Toronto, Toronto 181, Canada ABSTRACT Energy transfer processes can, in principle, represent a powerful

More information

Introduction. The analysis of the outcome of a reaction requires that we know the full structure of the products as well as the reactants

Introduction. The analysis of the outcome of a reaction requires that we know the full structure of the products as well as the reactants Introduction The analysis of the outcome of a reaction requires that we know the full structure of the products as well as the reactants Spectroscopy and the Electromagnetic Spectrum Unlike mass spectrometry,

More information

Rational design of a biomimetic glue with tunable strength and ductility

Rational design of a biomimetic glue with tunable strength and ductility Electronic Supplementary Material (ESI) for Polymer Chemistry. This journal is The Royal Society of Chemistry 2017 Supporting Information Rational design of a biomimetic glue with tunable strength and

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Behavior of candidate organic pellicle materials under 157 nm laser irradiation

Behavior of candidate organic pellicle materials under 157 nm laser irradiation Behavior of candidate organic pellicle materials under 157 nm laser irradiation A. Grenville Intel / International SEMATECH Austin, TX 78741-6499 V. Liberman, M. Rothschild, J.H.C. Sedlacek Lincoln Laboratory,

More information

Polymer Chemistry Prof. Dibakar Dhara Department of Chemistry Indian Institute of Technology, Kharagpur

Polymer Chemistry Prof. Dibakar Dhara Department of Chemistry Indian Institute of Technology, Kharagpur Polymer Chemistry Prof. Dibakar Dhara Department of Chemistry Indian Institute of Technology, Kharagpur Lecture - 10 Radical Chain Polymerization (Contd.) (Refer Slide Time: 00:28) Welcome back, and we

More information

PHYS 172: Modern Mechanics Fall 2009

PHYS 172: Modern Mechanics Fall 2009 PHYS 172: Modern Mechanics Fall 2009 Lecture 14 Energy Quantization Read 7.1 7.9 Reading Question: Ch. 7, Secs 1-5 A simple model for the hydrogen atom treats the electron as a particle in circular orbit

More information

Stellar Astrophysics: The Interaction of Light and Matter

Stellar Astrophysics: The Interaction of Light and Matter Stellar Astrophysics: The Interaction of Light and Matter The Photoelectric Effect Methods of electron emission Thermionic emission: Application of heat allows electrons to gain enough energy to escape

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com.

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com. Journal of Photopolymer Science and Technology Volumel2,Number4(1999) 625-636 1999TAPJ Investigation of Deep UV Solvents, Chemistries, Resists by NMR: Residual and PAG Decomposition in Casting Film Hiroshi

More information

Measurement of the role of secondary electrons in EUV resist exposures

Measurement of the role of secondary electrons in EUV resist exposures Measurement of the role of secondary electrons in EUV resist exposures June 12, 213 International Workshop on EUV Lithography Greg Denbeaux a, Justin Torok, a Ryan Del Re, a Henry Herbol, a Sanjana Das,

More information

Confining Light to Deep Subwavelength Dimensions to Enable Optical Nanopatterning

Confining Light to Deep Subwavelength Dimensions to Enable Optical Nanopatterning www.sciencemag.org/cgi/content/full/1167704/dc1 Supporting Online Material for Confining Light to Deep Subwavelength Dimensions to Enable Optical Nanopatterning Trisha L. Andrew, 1 Hsin-Yu Tsai, 2,3 Rajesh

More information

Introduction ENERGY. Heat Electricity Electromagnetic irradiation (light)

Introduction ENERGY. Heat Electricity Electromagnetic irradiation (light) Photochemistry Introduction ENERGY Heat Electricity Electromagnetic irradiation (light) Vision: Triggered by a photochemical reaction Is red in the dark? The answer must be NO - Since what we see as colour

More information

Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon AF Pellicles

Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon AF Pellicles Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon A Pellicles Idriss Blakey, Graeme A. George,David J. T. Hill, Heping Liu, iras Rasoul, Llew Rintoul, Andrew K. Whittaker, Paul

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

Technology offer: Environmentally friendly holographic recording material

Technology offer: Environmentally friendly holographic recording material Technology offer: Environmentally friendly holographic recording material Technology offer: Environmentally friendly holographic recording material SUMMARY Our research group has developed a new photopolymer

More information

Chapters 28 and 29: Quantum Physics and Atoms Solutions

Chapters 28 and 29: Quantum Physics and Atoms Solutions Chapters 8 and 9: Quantum Physics and Atoms Solutions Chapter 8: Questions: 3, 8, 5 Exercises & Problems:, 6, 0, 9, 37, 40, 48, 6 Chapter 9: Questions, 6 Problems 3, 5, 8, 9 Q8.3: How does Einstein's explanation

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Modeling of Photoinitiation of Thick Polymers Illuminated with Polychromatic Light

Modeling of Photoinitiation of Thick Polymers Illuminated with Polychromatic Light Modeling of Photoinitiation of Thick Polymers Illuminated with Polychromatic Light Alec Scranton, Nicole Stephenson, and Dane Kriks University of Iowa Department of Chemical and Biochemical Engineering

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Molecular Organometallic Resists for EUV (MORE) October 6, 2013 Molecular Organometallic Resists for EUV (MORE) October 6, 2013 Brian Cardineau, 1 James Passarelli, 1 Miriam Sortland, 1 Ryan Del Re, 1 Westly Tear, 1 Hashim Al-Mashat, 2 Miles Marnell, 2 Kara Heard,

More information

10. 6 Photochemistry. Out-class reading: Levine, pp photochemistry

10. 6 Photochemistry. Out-class reading: Levine, pp photochemistry Out-class reading: Levine, pp. 800-804 photochemistry 6.1 Brief introduction of light 1) Photochemistry The branch of chemistry which deals with the study of chemical reaction initiated by light. 2) Energy

More information

Photoelectric Effect Worksheet

Photoelectric Effect Worksheet Photoelectric Effect Worksheet The photoelectric effect refers to the emission of electrons from metallic surfaces usually caused by incident light. The incident light is absorbed by electrons thus giving

More information

Lecture 6 - spectroscopy

Lecture 6 - spectroscopy Lecture 6 - spectroscopy 1 Light Electromagnetic radiation can be thought of as either a wave or as a particle (particle/wave duality). For scattering of light by particles, air, and surfaces, wave theory

More information

PHOTOCHEMICAL PHOTOPHYSICAL STUDIES ON CHEMICALLY AMPLIFIED RESISTS

PHOTOCHEMICAL PHOTOPHYSICAL STUDIES ON CHEMICALLY AMPLIFIED RESISTS Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 35-46 PHOTOCHEMICAL AND PHOTOPHYSICAL STUDIES ON CHEMICALLY AMPLIFIED RESISTS NIGEL P. HACKER, DONALD C. HOFER and KEVIN M. WELSH

More information

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Damage to Molecular Solids Irradiated by X-ray Laser Beam WDS'11 Proceedings of Contributed Papers, Part II, 247 251, 2011. ISBN 978-80-7378-185-9 MATFYZPRESS Damage to Molecular Solids Irradiated by X-ray Laser Beam T. Burian, V. Hájková, J. Chalupský, L. Juha,

More information

Line Edge Roughness, part 2

Line Edge Roughness, part 2 Tutor57D.doc: Version 3/19/07 Line Edge Roughness, part T h e L i t h o g r a p h y E x p e r t (ay 007) In the last edition of this column [1], I began the difficult process of trying to understand the

More information

Connecting the Dots: A New Method to Determine Radiation Yield during Electron Beam (EB) Polymerization Reactions via Raman Spectroscopy

Connecting the Dots: A New Method to Determine Radiation Yield during Electron Beam (EB) Polymerization Reactions via Raman Spectroscopy Honors Theses at the University of Iowa Spring 218 Connecting the Dots: A New Method to Determine Radiation Yield during Electron Beam (EB) Polymerization Reactions via Raman Spectroscopy Renae Kurpius

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

The temperature of a lava flow can be approximated by merely observing its colour. The result agrees nicely with the measured temperatures of lava

The temperature of a lava flow can be approximated by merely observing its colour. The result agrees nicely with the measured temperatures of lava The temperature of a lava flow can be approximated by merely observing its colour. The result agrees nicely with the measured temperatures of lava flows at about 1,000 to 1,200 C. In the late 19 th

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

f N 2 O* + M N 2 O + M

f N 2 O* + M N 2 O + M CHM 5423 Atmospheric Chemistry Problem Set 2 Due date: Thursday, February 7 th. Do the following problems. Show your work. 1) Before the development of lasers, atomic mercury lamps were a common source

More information

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034,

More information

Radiant energy is proportional to its frequency (cycles/s = Hz) as a wave (Amplitude is its height) Different types are classified by frequency or

Radiant energy is proportional to its frequency (cycles/s = Hz) as a wave (Amplitude is its height) Different types are classified by frequency or CHEM 241 UNIT 5: PART B INFRA-RED RED SPECTROSCOPY 1 Spectroscopy of the Electromagnetic Spectrum Radiant energy is proportional to its frequency (cycles/s = Hz) as a wave (Amplitude is its height) Different

More information

Physics 107: Ideas of Modern Physics

Physics 107: Ideas of Modern Physics Physics 107: Ideas of Modern Physics Exam 3 Nov. 30, 2005 Name ID # Section # On the Scantron sheet, 1) Fill in your name 2) Fill in your student ID # (not your social security #) 3) Fill in your section

More information

An analysis of double exposure lithography options

An analysis of double exposure lithography options An analysis of double exposure lithography options Saul Lee a, Je rey Byers b, Kane Jen a, Paul Zimmerman b, Bryan Rice b, Nicholas J. Turro c, and C. Grant Willson a a Department of Chemical Engineering,

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry D. Frank Ogletree Molecular Foundry, Berkeley Lab Berkeley CA USA Our Berkeley Lab Team EUV Lithography and Pattern

More information

CHAPTER 7 SUMMARY OF THE PRESENT WORK AND SUGGESTIONS FOR FUTURE WORK

CHAPTER 7 SUMMARY OF THE PRESENT WORK AND SUGGESTIONS FOR FUTURE WORK 161 CHAPTER 7 SUMMARY OF THE PRESENT WORK AND SUGGESTIONS FOR FUTURE WORK 7.1 SUMMARY OF THE PRESENT WORK Nonlinear optical materials are required in a wide range of important applications, such as optical

More information

Lambert s law. Beer s law. di x / I x = -kdx (-di x = k I x dx) = - a c dx. I/I 0 = e -kl T = A = - log (T) = - log (I/I 0 )

Lambert s law. Beer s law. di x / I x = -kdx (-di x = k I x dx) = - a c dx. I/I 0 = e -kl T = A = - log (T) = - log (I/I 0 ) di x / I x = -kdx (-di x = k I x dx) Integrating this equation from x=0 ~ l (I x =I 0 ~I) gives ; ln I ln I 0 = -kl ln I/I 0 = -kl Expressing the number of photons absorbed by the slab as di x, and the

More information

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems 2010 International Workshop on EUV Lithography Makena Beach Golf Resort June 21-25, 25, 2010, Maui, Hawaii Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist

More information

Radical Polymerization and Click Chemistry. Surfaces using Gamma Irradiation. Supporting Information*

Radical Polymerization and Click Chemistry. Surfaces using Gamma Irradiation. Supporting Information* Synthesis of Block Copolymers via Atom Transfer Radical Polymerization and Click Chemistry grafted from Pre-functionalized Polypropylene Surfaces using Gamma Irradiation Supporting Information* Ollie Foster,

More information

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 EUV ablation C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1 1 Hilase Center, Dolni Brezany, Czech Republic 2 Czech Technical University, Prague,

More information

Chemical Engineering Seminar Series

Chemical Engineering Seminar Series Effect of Reaction Conditions on Copolymer Properties Loretta Idowu Keywords: copolymer composition distribution; radical polymerization kinetics; semi-batch starved feed; hydroxyl-functionality Non-functional

More information

Radiation Effects on Polymeric Materials

Radiation Effects on Polymeric Materials Chapter 1 Radiation Effects on Polymeric Materials A Brief Overview Elsa Reichmanis 1, Curtis W. Frank 2, James H. O'Donnell 3, and David J. T. Hill 3 1 AT&T Bell Laboratories, Room 1A-261, 600 Mountain

More information

Different energy levels

Different energy levels Different energy levels In the microscopic world energy is discrete www.cgrahamphysics.com Review Atomic electrons can only exist in certain discrete energy levels Light is made of photons When e s lose

More information

118/218 FINAL TAKE HOME due Monday, March 19, Chemistry room 4129

118/218 FINAL TAKE HOME due Monday, March 19, Chemistry room 4129 118/218 FINAL TAKE HOME due Monday, March 19, Chemistry room 4129 [1] In the stilbene photoisomerization paper on the website handouts page refer to Figure 3. (a) How does the wavelength and timing of

More information

5. Photochemistry of polymers

5. Photochemistry of polymers 5. Photochemistry of polymers 5.1 Photopolymerization and cross-linking Photopolymerization The fundamental principle of photopolymerization is based on the photoinduced production of a reactive species,

More information

Name : Roll No. :.. Invigilator s Signature :.. CS/B.Tech/SEM-2/PH-201/2010 2010 ENGINEERING PHYSICS Time Allotted : 3 Hours Full Marks : 70 The figures in the margin indicate full marks. Candidates are

More information

Light-Controlled Shrinkage of Large-Area Gold Nanoparticles Monolayer Film for Tunable SERS Activity

Light-Controlled Shrinkage of Large-Area Gold Nanoparticles Monolayer Film for Tunable SERS Activity Light-Controlled Shrinkage of Large-Area Gold Nanoparticles Monolayer Film for Tunable SERS Activity Xuefei Lu a,b, Youju Huang b,c,d, *, Baoqing Liu a,b, Lei Zhang b,c, Liping Song b,c, Jiawei Zhang b,c,

More information

The Effect of Electrostatic Surface Charges on Photoresist Dissolution

The Effect of Electrostatic Surface Charges on Photoresist Dissolution Sean Burns 4/24/00 Dr. Bonnecaze ChE 385M The Effect of Electrostatic Surface Charges on Photoresist Dissolution Introduction/Motivation It would be very useful and economical to have a fundamental model

More information

CH-442. Photochemistry I. Prof. Jacques-E. Moser.

CH-442. Photochemistry I. Prof. Jacques-E. Moser. CH-442 Photochemistry I Prof. Jacques-E. Moser http://photochemistry.epfl.ch/pc.html Content PHOTOCHEMISTRY I 1. Basic principles 1.1 Introduction 1.2 Laws of light absorption 1.3 Radiation and molecular

More information

Dual Nature of Radiation and Matter-I

Dual Nature of Radiation and Matter-I Dual Nature of Radiation and Matter-I Physics Without Fear CONTENTS ELECTRON EMISSION PHOTOELECTRIC EFFECT; HERTZ S OBSERVATIONS HALLWACHS AND LENARD S OBSERVATIONS EXPERIMENTAL STUDY OF PHOTOELECTRIC

More information

2m dx 2. The particle in a one dimensional box (of size L) energy levels are

2m dx 2. The particle in a one dimensional box (of size L) energy levels are Name: Chem 3322 test #1 solutions, out of 40 marks I want complete, detailed answers to the questions. Show all your work to get full credit. indefinite integral : sin 2 (ax)dx = x 2 sin(2ax) 4a (1) with

More information

PERFLUORINATED POLYMER GRAFTING: INFLUENCE OF PRE- IRRADIATION CONDITIONS

PERFLUORINATED POLYMER GRAFTING: INFLUENCE OF PRE- IRRADIATION CONDITIONS 2009 International Nuclear Atlantic Conference - INAC 2009 Rio de Janeiro,RJ, Brazil, September27 to October 2, 2009 ASSOCIAÇÃO BRASILEIRA DE ENERGIA NUCLEAR - ABEN ISBN: 978-85-99141-03-8 PERFLUORINATED

More information

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Suchit Bhattarai Electrical Engineering and Computer Sciences University of California at Berkeley

More information

Optical Spectroscopy 1 1. Absorption spectroscopy (UV/vis)

Optical Spectroscopy 1 1. Absorption spectroscopy (UV/vis) Optical Spectroscopy 1 1. Absorption spectroscopy (UV/vis) 2 2. Circular dichroism (optical activity) CD / ORD 3 3. Fluorescence spectroscopy and energy transfer Electromagnetic Spectrum Electronic Molecular

More information

Trinity Term Preliminary Examination in Physical Sciences SUBJECT 3: CHEMISTRY 3: PHYSICAL CHEMISTRY. also

Trinity Term Preliminary Examination in Physical Sciences SUBJECT 3: CHEMISTRY 3: PHYSICAL CHEMISTRY. also FIRST PUBLIC EXAMINATION Trinity Term 2000 Preliminary Examination in Physical Sciences SUBJECT 3: CHEMISTRY 3: PHYSICAL CHEMISTRY also Preliminary Examination in Molecular and Cellular Biochemistry Wednesday,

More information

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions AENSI Journals Australian Journal of asic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

Carrier Recombination

Carrier Recombination Notes for ECE-606: Spring 013 Carrier Recombination Professor Mark Lundstrom Electrical and Computer Engineering Purdue University, West Lafayette, IN USA lundstro@purdue.edu /19/13 1 carrier recombination-generation

More information

Asymmetry of Peaks in the XPS of Polymers

Asymmetry of Peaks in the XPS of Polymers Asymmetry of Peaks in the XPS of Polymers When a photon is absorbed by a material, the energy transferred may cause the excitation of both the electronic and atomic structure of the compounds on the surface.

More information

2.57/2.570 Midterm Exam No. 1 April 4, :00 am -12:30 pm

2.57/2.570 Midterm Exam No. 1 April 4, :00 am -12:30 pm Name:.57/.570 Midterm Exam No. April 4, 0 :00 am -:30 pm Instructions: ().57 students: try all problems ().570 students: Problem plus one of two long problems. You can also do both long problems, and one

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information