Short course on Atomic Layer Deposition

Size: px
Start display at page:

Download "Short course on Atomic Layer Deposition"

Transcription

1 Short course on Atomic Layer Deposition Erwin Kessels & Jan-Pieter van Delft

2 Vapor phase deposition technologies Physical Vapor Deposition (PVD) sputtering Chemical Vapor Deposition (CVD) Energetic ions! Heat!

3 More applications have stricter requirements on 1. Precise growth and thickness control 2. High conformality/step t coverage 3. Good uniformity on large substrates 4. Low substrate temperatures

4 Very demanding applications Nanoelectronics Photovoltaics Protective thin films Flexible electronics

5 CMOS scaling in nanoelectronics graphene??? nanowires Active Area??? Spacers Gate Field Ge/IIIV??? FinFET metal gate L=35nm HfO 2 high - strain SiGe USJ silicide time Time Courtesy of Marc Heyns, IMEC

6 Intel roadmap Scaling enabled by Lithography h Materials 3 rd Dimensioni

7 Field-effect transistor: replacing SiO 2 by HfO 2 32 nm Thermally grown SiO 2 Precise deposition of nanometer-thick Hf-based oxides

8 Field-effect transistor: going from 2D to 3D gates 22 nm Precise deposition of nanometer-thick Hf-based oxides with excellent conformality

9 Going 3D: memories and interconnects DRAM deep trench capacitors (aspect ratio up to 70) Through-silicon vias (4 µm wide & 40 µm deep) Precise deposition of ultrathin films with excellent conformality in very high aspect ratio (>10) trenches and vias Courtesy of Ivo Raaijmakers, ASM

10 Outline 1. Atomic layer deposition (ALD): basics and key features 2. ALD equipment 3. Materials & ALD surface chemistries 4. Some applications of ALD 5. Recent developments in high-throughput ALD

11 Atomic Layer Deposition (ALD) Chemisorption of reactant A Sub-monolayer A Saturation Purge Cycle Purge Sub-monolayer B Saturation Chemisorption of reactant B Reactants (precursors) are pulsed into reactor alternately and cycle-wise (ABAB..) Precursors react through saturative (self-limiting) limiting) surface reactions Asub-monolayer of material deposited per cycle

12 Atomic layer deposition (ALD) - movie

13 Thickness vs. number of cycles Film thickness is ruled by the number of cycles chosen 50 1 Al O Al 2 O 3 Thick kness (n nm) SiO 2 3. Ta 2 O 5 4. TiO 2 5. TiO 2 6. TiO ALD Cycles Potts et al., J. Electrochem. Soc., 157, P66 ( 2010). Dingemans et al., J. Electrochem. Soc. 159, H277 (2012)

14 Key features of ALD 1. Control of film growth and thickness Digital thickness control 2. High conformality/step coverage Self-limiting surface reactions 3. Good uniformity it on large substrates t 300 mm and even bigger 4. Low substrate temperatures Between C 5. Multilayer structures and nanolaminates Easy to alternate between processes 6. Large set of materials and processes Many different materials demonstrated

15 Line-of-sight vs. conformal growth

16 Key features of ALD 1. Control of film growth and thickness Digital thickness control 2. High conformality/step coverage Self-limiting surface reactions 3. Good uniformity it on large substrates t 300 mm and even bigger 4. Low substrate temperatures Between C 5. Multilayer structures and nanolaminates Easy to alternate between processes 6. Large set of materials and processes Many different materials demonstrated

17 Materials deposited ALD After: Puurunen J. Appl. Phys. 97, (2005)

18 Recent literature on ALD N. Pinna and M. Knez (Eds.) Wiley VHC (2011) MRS Bull. 36, 907 (2011)

19 Outline 1. Atomic layer deposition (ALD): basics and key features 2. ALD equipment 3. Materials & ALD surface chemistries 4. Some applications of ALD 5. Recent developments in high-throughput ALD

20 Single wafer ALD reactor Flow-type reactor (hot wall reactor) Shower head reactor (warm or hot wall reactor) Temporal ALD Reactor pressure Torr Pulse-train of precursors Applications: semiconductor (CMOS)

21 Batch ALD reactor Batch reactor Temporal ALD Typically substrates in a single deposition run Single-side deposition can be challenging g Applications: TFEL displays, DRAM, Flash memories, solar cells, etc.

22 Plasma ALD reactors Plasma-assisted ALD can yield additional benefits for specific applications: Improved material properties Deposition at lower temperatures (also room temperature) Higher growth rates/cycle and shorter cycle times More versatility/freedom in process and materials etc. Direct plasma Substrate part of plasma creation zone Remote plasma Substrate downstream of plasma creation zone Heil et al., J. Vac. Sci. Technol. A 25, 1357 (2007).

23 Oxford Instruments OpAL reactor - Movie

24 Plasma ALD: pros and cons 1. Improved material properties Lower impurity levels Higher film density Benefits 2. Deposition at reduced substrate temperatures Gas phase species deliver high reactivity to deposition surface 3. Increased choice of precursors and materials Precursors with high thermal and chemical stability Deposition of difficult metals 4. Good control of film stoichiometry and film composition Additional process parameters Use of plasma gas mixtures 5. Increased growth rate Higher growth per cycle Shorter purges Shorter purges Shorter nucleation time 6. More process versatility in general In situ plasma pretreatment Reactor conditioning & cleaning (etching) Challenges 1. Reduced conformality or step coverage Surface recombination of plasma species 2. Plasma-induced damage Substrate oxidation/nitridation Damage induced by ion bombardment Damage induced by plasma radiation 3. Industrial scale-up of the PE-ALD processes More complex equipment

25 Recent literature on plasma ALD Recent review article: Profijt et al., J. Vac. Sci. Technol. A (2011)

26 ALD equipment suppliers (incomplete list) R&D / Pilot Semiconductor Solar / R2R

27 Outline 1. Atomic layer deposition (ALD): basics and key features 2. ALD equipment 3. Materials & ALD surface chemistries 4. Some applications of ALD 5. Recent developments in high-throughput ALD

28 AlOH*+ Al(CH3)3 AlOH * are surface species H 2O Al(CH 3)3 H 2O Al(CH 3)3 CH AlOAl(CH 3)2* + CH Time (s) AlCH3* + H2O Purge H 2O H2O Cycle Al(CH 3) H 2O Purge Al(CH 3)3 Al(CH3)3 exposure Mass spectrometrry signal (A) Metalorganic and H2O: ligand exchange (Al2O3) H2O exposure AlOH* + CH4 Surface chemistry rules ALD process: ligand exchange between Al(CH3)3 and OH surface groups and H2O and CH3 surface groups leads to CH4 reaction products

29 Metalorganic and H 2 O: ligand exchange (Al 2 O 3 ) Al(CH 3 ) 3 exposure Purge spectrometr ry signal (A) 10-8 Al(CH 3 ) 3 H 2 O H O CH 4 Al(CH 3 ) 3 H 2 O Al(CH 3 ) 3 H 2 O Al(CH 3 ) 3 H 2 O Cycle Mass Time (s) Surface chemistry rules ALD process: ligand exchange between Al(CH 3 ) 3 and OH surface groups and H 2 O and CH 3 surface groups leads to CH 4 reaction products Purge H 2 O exposure

30 Metalorganic and H 2 O: ligand exchange (Al 2 O 3 ) Al(CH 3 ) 3 exposure Purge rbance frared abso In 4x10-5 Al(CH 3 ) 3 chemisorption 2940 cm cm -1 OH CH x CH x stretching stretching deformation H 2 O exposure Cycle Wavenumber (cm -1 ) Surface chemistry rules ALD process: Surface alternately covered by OH surface groups and CH 3 surface groups Purge H 2 O exposure

31 Metalorganic and H 2 O: ligand exchange (Al 2 O 3 ) Al(CH 3 ) 3 exposure Purge Gro owth per Cycle (Å) Cycle Al(CH 3 ) 3 dose (ms) Conditions such that precursors react through saturative surface reactions: Al(CH 3 ) 3 does not react with CH 3 surface groups Purge H 2 O exposure

32 Metalorganic and H 2 O: ligand exchange (Al 2 O 3 ) Al(CH 3 ) 3 exposure Cycle Purge Grow wth per Cy ycle (Å) H 2 O dose (ms) Conditions such that precursors react through saturative surface reactions: H 2 O does not react with OH surface groups Purge H 2 O exposure

33 Metalorganic and H 2 O: ligand exchange (Al 2 O 3 ) Al(CH 3 ) 3 exposure Purge 1.6 Cycle Grow wth per Cyc cle (Å) CVD+ALD ALD Purge after Al(CH 3 ) 3 dose (s) Precursors and reactants should be very well evacuated/separated from reactor before pulsing the next precursor/reaction: Otherwise parasitic CVD Purge H 2 O exposure

34 ALD process: saturation curves (Al 2 O 3 ) Grow wth per Cycle (nm/cycle) le) Growth per Cycle (nm/cycl (a) Thermal ALD -Al(CH 3 ) 3 & H 2 O Dose time (ms) CVD Purge time (s) (b) Plasma ALD -Al(CH 3 ) 3 & O 2 plasma CVD Subsaturation H 2 O dose (ms) Subsaturation CVD Purge time (s) Dose time (ms) Purge time (s) Plasma time (s) Purge time (s)

35 ALD process: substrate temperature (Al 2 O 3 ) Growth rate (nm/cycle e) (a) 6 (b) Plasma ALD Thermal ALD # Al atoms per cycle (10 15 cm -2 ) Substrate temperature ( o C) AlOH* + Al(CH 3 3) 3 AlOAl(CH 3 3) 2 * + CH 4 AlCH 3 * + H 2 O AlOH* + CH 4 Van Hemmen et al., J. Electrochem. Soc. 154, G165 (2007) Potts et al., J. Electrochem. Soc., 157, P66 ( 2010).

36 ALD process: substrate temperature (ideal case) Grow wth per Cycle B A ALD Temperature Window C D A. Condensation B. Insufficient thermal energy C. CVD D. Evaporation H 2 O OH OH T O Substrate Temperature Substrate/film surface

37 Materials deposited ALD After: Puurunen J. Appl. Phys. 97, (2005)

38 Metal halide: ligand exchange (HfO 2 and TiN) Metal oxides: ligand exchange HfOH* + HfCl 4 HfCl* + H 2 O HfOHfCl 3 * + HCl HfOH* + HCl Metals nitrides: ligand exchange TiNH* + TiCl 4 TiCl* + NH 3 TiNTiCl 3 * + HCl TiNH 2 * + HCl * are surface species

39 Metals: combustion (Pt) and reduction (W) Noble metals: combustion by chemisorbed O 2 3 O* + 2 (MeCp)PtMe 3 2 (MeCp)PtMe 2 * + CH 4 + CO 2 + H 2 O 2 (MeCp)PtMe 2 * + 24 O 2 Pt 2 Pt* + 3 O* + 16 CO H 2 O Metals: fluorosilane elimination reactions F F F W F F F WSiF 2 H* + WF 6 WWF 5 * + SiF 3 H WWF 5 * + Si 2 H 6 WSiF 2 H* + SiF 3 H + 2H 2 * are surface species

40 Plasma-based chemistry (Al 2 O 3 and TiN) Metal oxides: combustion AlOH*+ Al(CH 3 ) 3 AlOAl(CH 3 ) 2 * + CH 4 AlCH 3 * + 4O AlOH* + CO 2 + H 2 O Metal nitrides: ligand exchange and reduction TiNH* + TiCl 4 TiCl* + 3H + N TiNTiCl 3 * + HCl TiNH 2 * + HCl * are surface species

41 Plasma-based chemistry (metal oxides) 1. Al(CH 3 ) 3 H 3 C Al CH 3 CH 3 2. SiH 2 {N(C 2 H 5 )} 2 Si 3. Ta{N(CH 3 3) 2 } 5 (H 3 C) 2 N Ta 4. Ti(O i Pr) 4 5. Ti(Cp Me )(O i Pr) 3 6. Ti(Cp*)(OCH 3 ) 3 H 3 C H i PrO i PrO N(C 2 H 5 ) 2 H N(CH 3 ) 2 N(CH 3 ) 2 N(CH 3 ) 2 N(CH 3 ) 2 O i Pr Ti i O i Pr O i Pr CH 3 N(C2H5)2 TiO2 2 0Al2O3 - Ti(OiPr)4 per Cycle (Å/cycle e) Growth ( ) 4 SiO 2 TiO 2 - Ti(Cp Me )(O i Pr) 3 Ta 2 O 5 TiO 2 - Ti(Cp*)(OMe) CH 3 Ti O i Pr O i Pr CH 3 Substrate Temperature ( C) H 3 C CH 3 Ti OCH H 3 CO 3 OCH 3 Potts et al., J. Electrochem. Soc., 157, P66 ( 2010). Dingemans et al., J. Electrochem. Soc. 159, H277 (2012)

42 Outline 1. Atomic layer deposition (ALD): basics and key features 2. ALD equipment 3. Materials & ALD surface chemistries 4. Some applications of ALD 5. Recent developments in high-throughput ALD

43 Thin-film electroluminescent (TFEL) displays New large-area display in 1983 Atomic layer deposited ZnS:Mn 1974 First patent on ALD filed by Tuomo Suntala 1983 Introduction of first ALD (non)-transparent inorganic TFEL display Since 1989 Commercial production of ALD-TFEL displays by Planar T. Suntola, Mater. Sci. Rep. 4, 261 (1989)

44 Encapsulation of OLED Devices Thin-film-encapsulated OLEDs after testing No encapsulation 40 nm ALD Al 2 O 3 film Thin film encapsulation requires: low deposition temperatures low water vapor transmission rates low pinhole (black spot) density Langereis et al., Appl. Phys. Lett. 89, (2006). Keuning et al., J. Vac. Sci. Technol. A 30, 01A131 (2012).

45 Defect (dust particle) encapsulation Courtesy of Jian Jim Wang (NanoNuvo Corporation, USA)

46 Surface passivation for c-si solar cells Surface passivation on n-, p-, and p + -type crystalline silicon Reduction of electric losses at the Si surface Chemical passivation and field-effect passivation Hoex et al., Appl. Phys. Lett. 89, (2006); Hoex et al., J. Appl. Phys. 104, (2008).

47 Buffer layers for CIGS solar cells Replacement for CdS buffer layers Control of film composition, band gap and conduction band offset (CBO) (Zn,Mg)O, Zn(O,S) and In 2 S 3 are the most promising materials 2 3 Törndahl et al., Prog. Photovolt. Res. Appl. 15, 225 (2007)

48 Encapsulation of CIGS and Organic PV cells Protection against moisture and oxygen from the ambient Level of protection by ALD layers approaches the one of glass Carcia et al., Sol. Energy Mater. Sol. Cells 94, 2375 (2010)

49 Recent literature on ALD for PV (ALD4PV) c-si solar cells CIGS solar cells Van Delft et al., Semicond. Sci. Technol. 27 (July 2012) Organic Oga csolar cells Dye-sensitized solar cells Bakke et al., Nanoscale 3, 3482 (2011)

50 Outline 1. Atomic layer deposition (ALD): basics and key features 2. ALD equipment 3. Materials & ALD surface chemistries 4. Some applications of ALD 5. Recent developments in high-throughput ALD

51 Large substrate ALD reactors Temporal ALD Can be (inline) single wafer or batch reactor Substrate size up to 120 x 120 cm 2 Applications: Thin-film transistors, encapsulation, CIGS solar cells, transparent conductive oxides

52 Batch ALD reactor Temporal ALD Typically substrates in a single deposition run Single-side deposition can be challenging Applications: DRAM, Flash memories, TFEL displays, solar cells, etc.

53 Spatial ALD concept ALD cycles are carried out in the spatial domain precursor and reactant pulsing occur at different positions The substrate or the ALD deposition head must move Purge areas created by inert gas barriers prevent CVD reactions requires operation at high pressure No gas switching nor vacuum pumps are needed No deposition on the reactor walls

54 Spatial and in-line ALD reactor (Levitech) Developed for surface passivation of c-si solar cells by Al 2 O 3 Wafer moves continuously in one direction Throughput of 3600 wafers/hour

55 Spatial and in-line ALD reactor (Levitech) - Movie

56 Spatial and in-line ALD reactor (SoLayTec) Developed for surface passivation of c-si solar cells by Al 2 O 3 Wafer moves back and forth Throughput of 3600 wafers/hour (high-volume tool)

57 Roll-to-roll ALD reactors (Beneq) Spatial ALD concept Ideally suited for coating of flexible substrates OLEDs, flexible PV cells, etc. Low temperature deposition on polymers Throughput h of 2 m/min

58 Roll-to-roll ALD reactors (Lotus AT) Spatial ALD concept Coating of flexible substrates OLEDs, flexible PV cells, etc. Low temperature deposition on polymers Also in plasma-enhanced dald configuration Throughput of 20 m/min

59 Further reading and downloads Recent literature on ALD Pinna and Knez (Eds.) Wiley VHC (2011) MRS Bull. 36, 907 (2011) Recent literature on plasma ALD Profijt et al., J. Vac. Sci. Technol. A (2011) Recent literature on ALD for PV Van Delft et al., Semicond. Sci. Technol. 27 (July 2012) Bakke et al., Nanoscale 3, 3482 (2011) Downloads

60 Title /Department of Applied Physics

Agenda. 1. Atomic Layer Deposition Technology

Agenda. 1. Atomic Layer Deposition Technology Agenda 1. Atomic Layer Deposition Technology 2. What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films)

More information

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Alexey Kovalgin MESA+ Institute for Nanotechnology Semiconductor Components group a.y.kovalgin@utwente.nl 1 Motivation 1. Materials

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

In situ Studies of ALD Processes & Reaction Mechanisms

In situ Studies of ALD Processes & Reaction Mechanisms In situ Studies of ALD Processes & Reaction Mechanisms Erwin Kessels w.m.m.kessels@tue.nl www.tue.nl/pmp This tutorial presentation will give (1) an overview of methods for in situ studies of ALD processes

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Atomic Layer Deposition: An Overview

Atomic Layer Deposition: An Overview Chem. Rev. 2010, 110, 111 131 111 Atomic Layer Deposition: An Overview Steven M. George* Department of Chemistry and Biochemistry and Department of Chemical and Biological Engineering, University of Colorado,

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Atomic Layer Deposition for Continuous Roll-to-Roll Processing

Atomic Layer Deposition for Continuous Roll-to-Roll Processing Atomic Layer Deposition for Continuous Roll-to-Roll Processing S.M. George, P.R. Fitzpatrick, and Z.M. Gibbs, Departments of Chemistry and Chemical Engineering, University of Colorado, Boulder, CO ABSTRACT

More information

Real-Time Chemical Sensing for Advanced Process Control in ALD

Real-Time Chemical Sensing for Advanced Process Control in ALD Real-Time Chemical Sensing for Advanced Process Control in ALD Gary W. Rubloff 1, Laurent Henn-Lecordier 2, and Wei Lei 3 University of Maryland 1 Director, Maryland Center for Integrated Nano Science

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

Atomic Layer Deposition in Food Packaging and Barrier Coatings

Atomic Layer Deposition in Food Packaging and Barrier Coatings Atomic Layer Deposition in Food Packaging and Barrier Coatings Cost Workshop 16 th September2011, Espoo Dr. Pirjo Heikkilä VTT Technical Research Centre of Finland 2 Outline of the Presentation Food packaging

More information

ALD Nucleation and Area-Selective Deposition

ALD Nucleation and Area-Selective Deposition ALD Nucleation and Area-Selective Deposition Prof Gregory N. Parsons Department of Chemical and Biomolecular Engineering North Carolina State University Raleigh North Carolina USA 1 Outline 1. Nucleation

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Roll-to-roll equipment for atmospheric atomic layer deposition for solar applications

Roll-to-roll equipment for atmospheric atomic layer deposition for solar applications Roll-to-roll equipment for atmospheric atomic layer deposition for solar applications Raymond Knaapen, VDL Enabling Technologies Group E-mail: Raymond.Knaapen@vdletg.com Amongst thin-film deposition techniques,

More information

Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) :

Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) : Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) : Impact du réacteur et de la pression et développement de dépôts sélectifs C. Vallée 1,3, R. Gassilloud 2, R. Vallat 1,2,

More information

Step Coverage by ALD Films: Theory and Examples

Step Coverage by ALD Films: Theory and Examples Step Coverage by ALD Films: Theory and Examples of Ideal and Non-Ideal Reactions Roy G. Gordon Cambridge, MA 1 L Step Coverage in Holes with High Aspect Ratio Step coverage = (b/a) x 100% a Aspect ratio

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 5: ALD,

More information

Surface Functionalization by Atomic Layer Deposition

Surface Functionalization by Atomic Layer Deposition Surface Functionalization by Atomic Layer Deposition Christophe Detavernier SIM User Forum - Gent 27/10/2015 CoCooN Conformal Coating of Nanomaterials Atomic layer deposition (ALD) Gas-phase thin film

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Chemical Vapor Deposition (CVD)

Chemical Vapor Deposition (CVD) Chemical Vapor Deposition (CVD) source chemical reaction film substrate More conformal deposition vs. PVD t Shown here is 100% conformal deposition ( higher temp has higher surface diffusion) t step 1

More information

Plasma-assisted atomic layer deposition of Al2O3 studied by infrared transmission spectroscopy

Plasma-assisted atomic layer deposition of Al2O3 studied by infrared transmission spectroscopy Eindhoven University of Technology MASTER Plasma-assisted atomic layer deposition of Al2O3 studied by infrared transmission spectroscopy Keijmel, J. Award date: 2008 Link to publication Disclaimer This

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods Methods for catalyst preparation Methods discussed in this lecture Physical vapour deposition - PLD

More information

TiO2/sapphire Beam Splitter for High-order Harmonics

TiO2/sapphire Beam Splitter for High-order Harmonics Technical Communication TiO2/sapphire Beam Splitter for High-order Harmonics Y. Sanjo*1, M. Murata*1, Y. Tanaka*1, H. Kumagai*1, and M. Chigane*2 *1 Graduate School of Engineering,Osaka City University,

More information

Déposition séléctive le rêve reviens

Déposition séléctive le rêve reviens Willkommen Welcome Bienvenue Déposition séléctive le rêve reviens Patrik Hoffmann Michael Reinke, Yury Kuzminykh Ivo Utke, Carlos Guerra-Nunez, Ali Dabirian, Xavier Multone, Tristan Bret, Estelle Halary-Wagner,

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Calculation of growth per cycle (GPC) of atomic layer deposited aluminium oxide nanolayers and dependence of GPC on surface OH concentration

Calculation of growth per cycle (GPC) of atomic layer deposited aluminium oxide nanolayers and dependence of GPC on surface OH concentration PRAMANA c Indian Academy of Sciences Vol. 82, No. 3 journal of March 2014 physics pp. 563 569 Calculation of growth per cycle (GPC) of atomic layer deposited aluminium oxide nanolayers and dependence of

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

In today s lecture, we will cover:

In today s lecture, we will cover: In today s lecture, we will cover: Chemical Vapour Deposition Atomic Layer Deposition Layer-by-Layer Polyelectrolyte Depositions 1 Nanofilms Goals for this section: Understand the chemistry of deposition

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Subnanometre platinum clusters as highly active and selective catalysts for the oxidative dehydrogenation of propane

Subnanometre platinum clusters as highly active and selective catalysts for the oxidative dehydrogenation of propane DOI: 10.1038/NMAT2384 Subnanometre platinum clusters as highly active and selective catalysts for the oxidative dehydrogenation of propane Stefan Vajda, Larry A. Curtiss, Peter Zapol et al. Center for

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

Fundamental insight into ALD processing by in-

Fundamental insight into ALD processing by in- Fakultät Elektrotechnik und Informationstechnik Institut für Halbleiter- und Mikrosystemtechnik Fundamental insight into ALD processing by in- situ observation Johann W. Bartha M. Albert, M. Junige and

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Plasma-assisted ALD for the conformal deposition of SiO2 : process, material and electronic properties Dingemans, G.; Helvoirt, van, C.A.A.; Pierreux, D.; Keuning, W.; Kessels, W.M.M. Published in: Journal

More information

Atomic Layer Processing:

Atomic Layer Processing: Nano and Giga Challenges in Electronics, Photonics and Renewable Energy Tomsk, Russia, Sept. 18-22, 2017 Atomic Layer Processing: basics, materials, processes & applications Fred Roozeboom TU Eindhoven

More information

Discover the Difference

Discover the Difference M-2000 Discover the Difference Focused M-2000 The M-2000 line of spectroscopic ellipsometers is engineered to meet the diverse demands of thin film characterization. An advanced optical design, wide spectral

More information

A STUDY OF ATOMIC LAYER DEPOSITION AND REACTIVE PLASMA COMPATIBILITY WITH MESOPOROUS ORGANOSILICATE GLASS FILMS

A STUDY OF ATOMIC LAYER DEPOSITION AND REACTIVE PLASMA COMPATIBILITY WITH MESOPOROUS ORGANOSILICATE GLASS FILMS A STUDY OF ATOMIC LAYER DEPOSITION AND REACTIVE PLASMA COMPATIBILITY ITH MESOPOROUS ORGANOSILICATE GLASS FILMS E. Todd Ryan*, Melissa Freeman, Lynne Svedberg, J.J. Lee, Todd Guenther, Jim Connor, Katie

More information

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING Future ICs will use more 3D device structures such as finfets and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

ALD & ALE Tutorial Speakers and Schedule

ALD & ALE Tutorial Speakers and Schedule ALD & ALE Tutorial Speakers and Schedule Sunday, July 29, 2018 1:00-1:05 Tutorial Welcome 1:05-1:50 1:50-2:35 2:35-3:20 Challenges of ALD Applications in Memory Semiconductor Devices, Choon Hwan Kim (SK

More information

Atomic Layer Deposition

Atomic Layer Deposition Atomic Layer Deposition Harm C.M. Knoops, Stephen E. Potts, Ageeth A. Bol, and W.M.M. (Erwin) Kessels Eindhoven University of Technology w.m.m.kessels@tue.nl Photo: Area-selective atomic layer deposition

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

Competitive Advantages of Ontos7 Atmospheric Plasma

Competitive Advantages of Ontos7 Atmospheric Plasma Competitive Advantages of Ontos7 Atmospheric Plasma Eric Schulte Matt Phillips Keith Cooper SETNA Proprietary 1 Advantages of Ontos7 Atmospheric Plasma Process over Vacuum RIE Plasma for Die/Wafer Surface

More information

Complex Nanostructures by Atomic Layer Deposition. Kornelius Nielsch.

Complex Nanostructures by Atomic Layer Deposition. Kornelius Nielsch. Complex Nanostructures by Atomic Layer Deposition Kornelius Nielsch Institute of Applied Physics, University of Hamburg (Germany) knielsch@physnet.uni-hamburg.de Outline History and Principle Ferromagnetic

More information

High-density data storage: principle

High-density data storage: principle High-density data storage: principle Current approach High density 1 bit = many domains Information storage driven by domain wall shifts 1 bit = 1 magnetic nanoobject Single-domain needed Single easy axis

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Vapor Phase Doping with N-type Dopant into Silicon by Atmospheric Pressure Chemical Vapor Deposition

Vapor Phase Doping with N-type Dopant into Silicon by Atmospheric Pressure Chemical Vapor Deposition 495 10.1149/1.2986806 The Electrochemical Society Vapor Phase Doping with N-type Dopant into Silicon by Atmospheric Pressure Chemical Vapor Deposition Shotaro Takeuchi, Ngoc Duy Nguyen, Frederik Leys,

More information

Recap (so far) Low-Dimensional & Boundary Effects

Recap (so far) Low-Dimensional & Boundary Effects Recap (so far) Ohm s & Fourier s Laws Mobility & Thermal Conductivity Heat Capacity Wiedemann-Franz Relationship Size Effects and Breakdown of Classical Laws 1 Low-Dimensional & Boundary Effects Energy

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

ALD-enabled nanopatterning: area-selective ALD by area-activation

ALD-enabled nanopatterning: area-selective ALD by area-activation ALD-enabled nanopatterning: area-selective ALD by area-activation Adrie Mackus, Ageeth Bol, and Erwin Kessels w.m.m.kessels@tue.nl www.tue.nl/pmp Outline Introduction & Area-selective deposition Area-selective

More information

High efficiency solar cells by nanophotonic design

High efficiency solar cells by nanophotonic design High efficiency solar cells by nanophotonic design Piero Spinelli Claire van Lare Jorik van de Groep Bonna Newman Mark Knight Paula Bronsveld Frank Lenzmann Ruud Schropp Wim Sinke Albert Polman Center

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

T: +44 (0) W:

T: +44 (0) W: Ultraviolet Deposition of Thin Films and Nanostructures Ian W. Boyd ETC Brunel University Kingston Lane Uxbridge Middx UB8 3PH UK T: +44 (0)1895 267419 W: etcbrunel.co.uk E: ian.boyd@brunel.ac.uk Outline

More information

DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT

DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT WRITE YOUR SOLUTIONS ON ONLY ONE SIDE OF EMPTY SOLUTION SHEETS

More information

CHAPTER 3: Epitaxy. City University of Hong Kong

CHAPTER 3: Epitaxy. City University of Hong Kong 1 CHAPTER 3: Epitaxy Epitaxy (epi means "upon" and taxis means "ordered") is a term applied to processes used to grow a thin crystalline layer on a crystalline substrate. The seed crystal in epitaxial

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

On the nucleation of Pt & Pd during atomic layer deposition & temperature programmed desorption for thin film analysis

On the nucleation of Pt & Pd during atomic layer deposition & temperature programmed desorption for thin film analysis Eindhoven University of Technology MASTER On the nucleation of Pt & Pd during atomic layer deposition & temperature programmed desorption for thin film analysis Vervuurt, R.H.J. Award date: 2012 Link to

More information

JOHN G. EKERDT RESEARCH FOCUS

JOHN G. EKERDT RESEARCH FOCUS JOHN G. EKERDT RESEARCH FOCUS We study the surface, growth and materials chemistry of ultrathin metal and dielectric films. Our work seeks to: 1) develop and understand the reactions and chemistry that

More information

Atmospheric pressure Plasma Enhanced CVD for large area deposition of TiO 2-x electron transport layers for PV. Heather M. Yates

Atmospheric pressure Plasma Enhanced CVD for large area deposition of TiO 2-x electron transport layers for PV. Heather M. Yates Atmospheric pressure Plasma Enhanced CVD for large area deposition of TiO 2-x electron transport layers for PV Heather M. Yates Why the interest? Perovskite solar cells have shown considerable promise

More information

Atomic Layer Deposition of Chalcogenide Thin Films

Atomic Layer Deposition of Chalcogenide Thin Films Atomic Layer Deposition of Chalcogenide Thin Films PUBLICATION REVIEW ON ULTRATECH ALD SYSTEMS 09.10.2015 1 Highlights Benefits of ALD for nano-manufacturing of chalcogenides Atomic level thickness control

More information

Solliance. Perovskite based PV (PSC) Program. TKI Urban Energy Days l e d b y i m e c, E C N a n d T N O

Solliance. Perovskite based PV (PSC) Program. TKI Urban Energy Days l e d b y i m e c, E C N a n d T N O Solliance Perovskite based PV (PSC) Program TKI Urban Energy Days - 2017-06-21 l e d b y i m e c, E C N a n d T N O 2 Bringing together research and industry Providing insight and know-how to all partners

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

MaxCaps Next Generation Dielectrics for Integrated Capacitors

MaxCaps Next Generation Dielectrics for Integrated Capacitors MaxCaps Next Generation Dielectrics for Integrated Capacitors Guenther Ruhl Infineon Technologies AG Σ! 2365 Semicon Europa 2011 Dresden, October 11, 2011 October 11, 2011 1 Outline Introduction MaxCaps

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Initial Stages of Growth of Organic Semiconductors on Graphene

Initial Stages of Growth of Organic Semiconductors on Graphene Initial Stages of Growth of Organic Semiconductors on Graphene Presented by: Manisha Chhikara Supervisor: Prof. Dr. Gvido Bratina University of Nova Gorica Outline Introduction to Graphene Fabrication

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield 2D MBE Activities in Sheffield I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield Outline Motivation Van der Waals crystals The Transition Metal Di-Chalcogenides

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

M R S Internet Journal of Nitride Semiconductor Research

M R S Internet Journal of Nitride Semiconductor Research Page 1 of 6 M R S Internet Journal of Nitride Semiconductor Research Volume 9, Article 7 The Ambient Temperature Effect on Current-Voltage Characteristics of Surface-Passivated GaN-Based Field-Effect Transistors

More information

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology Wei Lei, Yuhong Cai, Laurent Henn-Lecordier and Gary W. Rubloff Department of Materials Science and Engineering and Institute

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

Lecture 1: Vapour Growth Techniques

Lecture 1: Vapour Growth Techniques PH3EC2 Vapour Growth and Epitaxial Growth Lecturer: Dr. Shinoj V K Lecture 1: Vapour Growth Techniques 1.1 Vapour growth The growth of single crystal materials from the vapour phase. Deposition from the

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

High efficiency silicon and perovskite-silicon solar cells for electricity generation

High efficiency silicon and perovskite-silicon solar cells for electricity generation High efficiency silicon and perovskite-silicon solar cells for electricity generation Ali Dabirian Email: dabirian@ipm.ir 1 From Solar Energy to Electricity 2 Global accumulative PV installed In Iran it

More information

Applications of ion beams in materials science

Applications of ion beams in materials science Applications of ion beams in materials science J. Gyulai Research Institute for Technical Physics and Materials Science (MFA), Hung. Acad. Sci., Budapest Types of processing technologies Top-down - waste

More information

Physics of Organic Semiconductor Devices: Materials, Fundamentals, Technologies and Applications

Physics of Organic Semiconductor Devices: Materials, Fundamentals, Technologies and Applications Physics of Organic Semiconductor Devices: Materials, Fundamentals, Technologies and Applications Dr. Alex Zakhidov Assistant Professor, Physics Department Core faculty at Materials Science, Engineering

More information

Photovoltaic cell and module physics and technology

Photovoltaic cell and module physics and technology Photovoltaic cell and module physics and technology Vitezslav Benda, Prof Czech Technical University in Prague benda@fel.cvut.cz www.fel.cvut.cz 6/21/2012 1 Outlines Photovoltaic Effect Photovoltaic cell

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Deposition of thin films

Deposition of thin films 16 th March 2011 The act of applying a thin film to a surface is thin-film deposition - any technique for depositing a thin film of material onto a substrate or onto previously deposited layers. Thin is

More information

Reaction Mechanism of Area-Selective Atomic

Reaction Mechanism of Area-Selective Atomic Supporting Information Reaction Mechanism of Area-Selective Atomic Layer Deposition for Al 2 O 3 Nanopatterns Seunggi Seo 1, Il-Kwon Oh 1, Byung Chul Yeo 1, 2, Sang Soo Han 2, Chang Mo Yoon 1, JOON YOUNG

More information

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant Low temperature anodically grown silicon dioxide films for solar cell applications Nicholas E. Grant Outline 1. Electrochemical cell design and properties. 2. Direct-current current anodic oxidations-part

More information

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127 Real-time process sensing and metrology in amorphous and selective area silicon plasma enhanced chemical vapor deposition using in situ mass spectrometry Ashfaqul I. Chowdhury, a) Walter W. Read, a) Gary

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

2.1 Template Method Microemulsion Nanostructured Polymer (Copolymer, DNA) Nanostructured Ceramics (AAO, Porous Silica, Zeolite)

2.1 Template Method Microemulsion Nanostructured Polymer (Copolymer, DNA) Nanostructured Ceramics (AAO, Porous Silica, Zeolite) Chapter 1. Characteristics of Nanomaterials Chapter 2. Methodology of Nanomaterials 2.1 Template Method 2.1.1. Microemulsion 2.1.2. Nanostructured Polymer (Copolymer, DNA) 2.1.3. Nanostructured Ceramics

More information

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Co- Authors Aixtron Alex Jouvray Simon Buttress Gavin Dodge Ken Teo The work shown here has received partial funding from the European

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information