Role of N 2 addition on CF 4 /O 2 remote plasma chemical dry etching of polycrystalline silicon

Size: px
Start display at page:

Download "Role of N 2 addition on CF 4 /O 2 remote plasma chemical dry etching of polycrystalline silicon"

Transcription

1 Role of N 2 addition on CF 4 /O 2 remote plasma chemical dry etching of polycrystalline silicon P. J. Matsuo, a) B. E. E. Kastenmeier, J. J. Beulens, and G. S. Oehrlein b) Department of Physics, University at Albany, State University of New York, Albany, New York Received 22 March 1996; accepted 17 January 1997 The remote plasma chemical dry etching of polycrystalline silicon was investigated using various CF 4 /O 2 /N 2 gas compositions. The effects of O 2 and N 2 addition on the etch rate and surface chemistry were established. Admixing O 2 to CF 4 increases the gas phase fluorine density and increases the etch rate by roughly sevenfold to a maximum at an O 2 /CF 4 ratio of The addition of small amounts of N 2 N 2 /CF can again double this etch rate maximum. Strong changes in surface chemistry were also seen as a result of N 2 addition to CF 4 /O 2. Real-time ellipsometry and atomic force micro-roughness measurements reveal that nitrogen addition at low O 2 /CF 4 ratios leads to the smoothing of surfaces, but to increased oxidation at high O 2 /CF 4 ratios. Based on etch rate data and gas phase species analysis, we propose that NO plays an important role in the overall etching reaction. Variable tube lengths separated the reaction chamber from the discharge. These tubes were lined with either quartz or Teflon liners. In general, etch rates diminished with quartz tube length. At the longer transport tube lengths e.g., 125 cm, using a Teflon lining material strongly increases the etch rate for pure CF 4 /O 2 discharges as compared to the quartz. For discharges containing N 2, the etch rate is more than doubled. This can be explained by the low recombination rate of atomic fluorine on Teflon and the subsequent high density of F atoms that reach the process chamber, even for long transport tube lengths. In situ ellipsometric measurements reveal postplasma surface modifications for certain etching chemistries. Comparisons of these results to x-ray photoemission measurements reveal a dependence of the stability of the postprocessing surface reaction layer on the etching conditions and hence the thickness and composition of the layer, i.e., whether the layer is comprised of volatile SiF x -like or involatile (SiO y -like species. Thicker, more SiO y -like reaction layers create a barrier for the diffusion and subsequent desorption of the volatile products and a postplasma removal of a portion of the reaction layer is observed. Thinner, more SiF x -like layers leave a fluorine deficient surface in the postplasma stage which results in increased tendency to postplasma layer growth. The etching of silicon is not always limited by the arrival rate of atomic fluorine for our processing conditions American Vacuum Society. S X I. INTRODUCTION There is currently a significant interest in remote plasma chemical dry etching CDE due to several advantages over competing etching technologies, 1 5 e.g., exposure of samples directly to the plasma environment or photostimulation processes such as ultraviolet UV induced etching. In contrast to a direct plasma etch, a remote plasma allows for better control of the processing parameters and hence the environment of the substrate. 4 Since the lifetime of ions is much less than the gas travel time from the plasma to the sample, the etching mechanism is purely chemical. Therefore damage effects, such as gate oxide charging and physical degradation, can be eliminated. 4,5 Surface smoothing, critical in the manufacturing of high quality semiconductor devices, is also possible in CDE. 2 A chemical dry etcher is easily incorporated into a vacuum cluster process environment where there is an advantage for mask removal, e.g., a Si 3 N 4 oxidation a Electronic mail: pm1747@lilith.albany.edu b Electronic mail: oehrlein@cnsibm.albany.edu barrier, where CDE can eliminate the need for the conventional wet processing step used in this removal. 6 There has been a great deal of study on the role of oxygen addition to a CF 4 plasma. 1,2,4,7 13 The conclusion that O 2 addition into the CF 4 discharge increases the atomic fluorine concentration due to the oxidation of CF x molecules has been established. Also, the primary etch product of poly-si etching is SiF 4. 2,10,14 16 Therefore, barring the formation of a prohibitive reactive layer on the substrate surface, e.g., an oxidized SiO x F y layer, the connection to the etch rate enhancement observed in the CF 4 /O 2 system has been made clear. However, there has been only little study of the role nitrogen plays when injected into the CF 4 /O 2 plasma. 17,18 In order to determine the role of nitrogen, we studied etch rates, the surface reaction layer, and the gas phase processes using CF 4 /O 2 /N 2 remote plasmas. Diagnostic tools utilized include in situ ellipsometry, mass spectrometry, optical emission spectroscopy, and x-ray photoelectron spectroscopy via ultrahigh vacuum UHV transport from the process chamber. Also of interest is the transport tube configuration and lining 1801 J. Vac. Sci. Technol. A 15(4), Jul/Aug /97/15(4)/1801/13/$ American Vacuum Society 1801

2 1802 Matsuo et al.: Role of N 2 addition on CF 4 /O 2 CDE 1802 FIG. 1. Schematic of the chemical downstream etching tool used in this work. material used to flow the excited gas from the discharge to the reaction chamber. II. EXPERIMENTAL APPARATUS AND PROCEDURE In Fig. 1 the ultrahigh vacuum-compatible microwave based etching reactor used in this work is shown. A microwave plasma is produced in a modified ASTeX DPA-38 microwave plasma applicator. This device is equipped with a 38 mm outside diameter o.d. wall quartz tube to which the process gases are fed. The plasma is separated from the processing chamber by tubing of variable length and lining material. For the current work, these lining materials were quartz and Teflon and the lengths varied from 0 to 125 cm. The etching experiments were performed in a cylindrical processing chamber inside diameter 27 cm, height 30 cm with water cooled walls. The system is pumped using a Balzers 2200 l/s corrosive service turbomolecular pump backed by a roots blower and vane pump. The pressure was measured with a MKS Baratron capacitance manometer. For the experiments described here, the pressure was kept at 500 mtorr the pressure used in commercial equipment, e.g., the Shibaura CDE-80. A throttle valve in the bypass line was used to control the pumping speed and maintain this pressure in the chamber. Although, in general, the poly-si etch rate increases with microwave power, the microwave power was maintained at 400 W, which was a good compromise be- -tween process optimization and modest erosion rate of the quartz applicator tube. 17 For the etching and surface analysis experiments, 1 in. by 1 in. square samples were prepared from 200 mm wafers covered with 250 nm poly silicon on 100 nm SiO 2 on Si and 125-mm-diam single-crystal silicon wafers. These samples were HF dipped to remove the native oxide layer and were then mounted on 125 mm silicon carrier wafers using thermal glue. The mounted samples were then placed on an electrostatic chuck dc bias of 600 V with a helium backside pressure of 5 Torr. The electrostatic chuck temperature was set to 10 C. The wafer temperature was measured with a fluoroptic probe which contacts the backside of the wafer. During processing, the silicon wafers heated up by approximately 2 C. In situ ellipsometry using a SOFIE rotating compensator ellipsometer in the polarizer-compensator-sample-analyzer PCSA configuration with a nm He/Ne laser source beam was employed to monitor real-time film etching and deposition. Optical emission spectroscopy OES and mass spectrometry were used for real-time plasma and chamber gas phase diagnostics. The etching chamber is also connected via a UHV central wafer handler to both a load-lock and a multitechnique surface analysis chamber. Processed specimens were transported under vacuum to the multitechnique surface analysis system for x-ray photoemission spectroscopy XPS measurements. III. EXPERIMENTAL RESULTS A. Etch rates 1. Etch rates versus gas composition in CF 4 /O 2 /N 2 The dependence of the poly-si etch rate on the O 2 /CF 4 ratio, with and without N 2, is displayed in Fig. 2. An experimental error of less than 10% can be assumed for these values and any discussed trend should be considered beyond this error. Etch rates were studied as a function of added O 2 and N 2. For pure CF 4, the etch rate was 20 nm/min. By J. Vac. Sci. Technol. A, Vol. 15, No. 4, Jul/Aug 1997

3 1803 Matsuo et al.: Role of N 2 addition on CF 4 /O 2 CDE 1803 FIG. 2. Poly-Si etch rates vs O 2 /CF 4 for several N 2 /CF 4 ratios. FIG. 3. Poly-Si etch rates vs N 2 /CF 4 for O 2 /CF 4 ratios of a 0.00, b 0.15, and c adding O 2 to CF 4, the etch rate increased to 150 nm/min at an O 2 /CF 4 flow ratio of For more O 2 -rich CF 4 /O 2 gas mixtures, the etch rate decreased again. Mechanisms for this enhancement of the etch rate and the subsequent decrease have been discussed in the literature. 1 4,9 11,19 It has been established that admixing small amounts of O 2 to a pure CF 4 discharge results in an increase of atomic fluorine production due to oxidation of the CF x radicals. Higher flows of O 2 quench this fluorine production. When 20 sccm N 2 was injected in addition, the etch rate could be increased once more by a factor of 2 relative to values without N 2. Adding more than 20 sccm N 2 resulted in no further increase of the poly-si etch rate at the etch rate maximum. It caused, however, a more rapid decrease of the etch rate at high O 2 /CF 4 ratios. Figure 3 illustrates this dependence by means of the poly-si etch rate versus N 2 composition in the discharge for important O 2 /CF 4 ratios. Under these considerations we proceeded to use 20 sccm of N 2 (N 2 /CF ) as the standard feed for gas mixtures containing nitrogen. 2. Etch rates versus tube length The separation distance and design of the transport region encompass some important process parameters. The separation distance, lining, and geometry play a major role in which reactive species survive and reach the processing chamber. 2,4,8 Figure 4 shows the dependence of the Poly-Si etch rates on quartz lined transport tube length for a no O 2 in the discharge, b a fluorine rich discharge (O 2 /CF ), and c an oxygen rich discharge (O 2 /CF ) all with and without 20 sccm N 2 (N 2 /CF ). For pure CF 4, a linear decrease of the etch rate with tube length is observed. Nitrogen addition to pure CF 4 increases the etch rate by almost a factor of 2 for zero tube length, but a rapid decrease in the etch rate occurs within the first 30 cm of the tube length, after which it drops below that measured for pure CF 4. It then remains roughly constant. This behavior is also seen for Si 3 N 4 and SiO 2 etch rates. 17,18 For an O 2 /CF 4 ratio of 0.15 and at zero tube length, the etch rate is again increased by a factor of 2 upon injection of N 2. The etch rate then drops off, again relatively quickly for the first 50 cm, and then starts to level off near to the etch rate seen without N 2 in the discharge. The etch rates for N 2 /CF dip slightly with quartz tube length. For the oxygen rich discharge and zero tube length, the etch rate is greater if N 2 is added. The etch rate without N 2 increases with tube length, yet, for CF 4 /O 2 /N 2, the etch rate drops off slightly. At 125 cm tube length, the etch rates with and without N 2 are approaching the same value. 3. Etch rates versus lining material and geometry In particular, it is the transport tube lining material which impacts the gas phase effects in the etching process most dramatically. A reactive versus an inert lining medium can significantly deplete etching agents. In Fig. 5 a, the influence on etch rates of a 125 cm Teflon liner compared to a quartz liner are shown. The same maximum in etch rate is observed as with the quartz liner, yet the values are dramatically enhanced. In panel b of Fig. 5, the influence of a 90 bend in the transport tube, positioned adjacent to the applicator housing, FIG. 4. Poly-Si etch rate vs quartz lined transport tube length. Panel a represents an O 2 /CF 4 ratio of 0.00, b 0.15, and c JVST A - Vacuum, Surfaces, and Films

4 1804 Matsuo et al.: Role of N 2 addition on CF 4 /O 2 CDE 1804 FIG. 5. Poly-Si etch rate vs O 2 /CF 4. In panel a the results are for a quartz vs Teflon liner. In b the curves represent a straight 125 cm quartz tube vs one with a 90 bend adjacent to the applicator. FIG. 6. Actinometry determined concentration of atomic fluorine in the discharge as a function of O 2 /CF 4. Ar was used as the actinometer using the 7500 Å peak. The fluorine signal was monitored with the 7037 Å peak. is evaluated and compared with a straight tube of equivalent length 125 cm. A significant increase in the etch rate is observed with the bent transport tube. B. Gas phase diagnostics 1. OES Figure 6 depicts the relative change in concentration of atomic fluorine produced in the plasma, by means of Ar actinometry, as a function of the O 2 /CF 4 ratio both with and without nitrogen. The 7037 Å peak was used to monitor the fluorine signal, while the Ar 7500 Å peak was used for the actinometry As expected, injection of small amounts of O 2 into the discharge results in a higher concentration of atomic fluorine. Then, as the level of oxygen is further increased, this fluorine production is suppressed. Inclusion of nitrogen into the plasma results in an increase of the atomic fluorine produced only slightly above the experimental error of 10%. 2. Mass spectrometry Figure 7 shows the plasma on-plasma off value for the 19 amu F signal. Panel a represents no oxygen in the discharge, panel b is for O 2 /CF , and panel c is for a high oxygen content in the plasma (O 2 /CF ). As expected, there is an increase in the amount of available fluorine in the etching region upon injection of oxygen into the discharge. However, for gas compositions containing nitrogen, the free fluorine density in the etching region is less than that without the N 2. For a pure CF 4 discharge, the fluorine signal decreases significantly with increasing quartz tube length, but for all other gas compositions, this effect is not seen. The effect of N 2 addition on the production of atomic oxygen is seen in Fig. 8. Clearly, injection of nitrogen into the discharge enhances the dissociation of molecular oxygen. Figure 9 shows the NO concentration in the processing chamber as a function of the O 2 /CF 4 ratio in the discharge. Upon inclusion of oxygen into the CF 4 /N 2 discharge, we see significant NO production which then maintains its concentration through greater O 2 /CF 4 ratios. C. Surface analysis 1. Real-time ellipsometry The modifications of single-crystal silicon for different CDE processes were followed in real-time ellipsometry. Figure 10 shows real-time ellipsometry data obtained during microwave induced chemical dry etching of single-crystal silicon. A low proportion of O 2 in CF 4 was used for this experiment (O 2 /CF ), and initially no N 2 was present. The ellipsometric angles Delta and Psi are plotted versus each other and versus time. At time a the CF 4 /O 2 microwave discharge is ignited. It took about 20 s before the re- FIG. 7. The F concentration in the chamber as determined by mass spectrometry as a function of quartz lined transport tube length. Panel a represents a discharge void of oxygen. Panel b is an O 2 /CF 4 ratio of 0.15 and in c, O 2 /CF J. Vac. Sci. Technol. A, Vol. 15, No. 4, Jul/Aug 1997

5 1805 Matsuo et al.: Role of N 2 addition on CF 4 /O 2 CDE 1805 FIG. 8. Normalized O and O 2 concentrations as determined by mass spectrometry illustrating the enhanced dissociation of molecular oxygen upon N 2 inclusion to the CF 4 /O 2 discharge. FIG. 9. NO concentration in the reaction chamber vs O 2 /CF 4 as determined by mass spectrometry. flected power could be minimized and the discharge stabilized. This is represented in the region between a and b. Once the reflected power is minimized, both Delta and Psi decrease. In general, the decrease of Delta indicates the formation of a progressively thicker modified layer on the unperturbed silicon, but the corresponding decrease in Psi between points b and c is inconsistent with the growth of a transparent film on the Si surface, e.g., due to the formation of a SiF x O y reaction layer, 23,24 and suggests that in this case it is the formation of surface roughness. At time c, 20 sccm of N 2 is injected in addition to the CF 4 /O 2 and the surface roughness formed during the CF 4 /O 2 discharge is rapidly removed. Microroughness measurements determined from a tapping mode atomic force microscope AFM on Si 100 surfaces after plasma treatment using a low proportion of O 2 with and without nitrogen supports this interpretation of the ellipsometric angles and. In fact, the low oxygen treatments containing nitrogen result in a decrease of the calculated rms roughness by almost a factor of 2. At point d the microwave plasma is extinguished, but the sample remains exposed to the long lived species produced by the discharge. The formation of another layer takes place now. The time constant for this formation is just under 10 s, which is of the same order as the residence time in the reactor. Note that here Psi increases, whereas before, at time b, Psi decreased. This suggests that the postplasma effect is actually an increase in the reaction layer thickness. At time e the chamber is evacuated using the turbomolecular pump and the layer no longer changes in thickness. Figure 11 shows real-time ellipsometry data, which are similar to that shown in Fig. 10, but were obtained using a high proportion of O 2 in CF 4 O 2 /CF ). At time a the microwave discharge fed with CF 4 /O 2 is ignited. The strong decrease of Delta and increase of Psi indicate the formation of a rather thick reaction layer on the unperturbed silicon. At time b 20 sccm of N 2 are injected in addition. The N 2 injection results in an increase of the thickness of the reaction layer in this case. At time c and N 2 flow is stopped and the original thickness of the reaction layer characteristic of only CF 4 /O 2 is reestablished. At point d N 2 is injected once more and the reaction layer thickness increases again. At e the N 2 supply is shut off, and finally at point f the microwave discharge is extinguished and the chamber is evacuated using the turbomolecular pump. We note that the reaction layer decreases in thickness in this case once the microwave discharge is extinguished. Each of the major parameter settings were investigated using this technique in order to determine the thickness of the reaction layers. For a low proportion of O 2 in the feed gas, surface roughness made an accurate determination of the film thickness impossible and so, for these settings, XPS interpretation was utilized. However, for O 2 /CF , the ellipsometric method was the best suited technique for determining reaction layer thicknesses and these results are presented in Fig. 12. Panel a represents the reaction layer during etching while panel b represents the postplasma reaction layer thickness. In all cases there is a trend towards increasing reaction layer thickness with quartz tube length. This trend is enhanced upon injection of N 2 into the discharge. Also easily seen here is the consistent desorption of volatile species after the plasma has been extinguished and the processing chamber pumped. It is interesting to directly compare the postplasma effects seen for this oxygen rich processing environment to that of the fluorine rich environment. Figure 13 displays these differences as Delta versus time plots. Curve a represents a nitrogen free discharge with an O 2 /CF 4 ratio of In b the O 2 /CF 4 ratio is also 0.15 but the N 2 /CF 4 ratio has been increased to Curves c and d represent O 2 /CF 4 ratios of 0.75 both without and with N 2, respectively. In the fluorine rich regime, inclusion of nitrogen is a necessary condition for the growth of a postplasma reaction layer. If a refractive index is known, the Delta and Psi versus time data can be converted into thicknesses using an algorithm derived from McCrackin s FORTRAN program. 25 Accordingly, the thickness of this layer was measured to be approaching 1.0 JVST A - Vacuum, Surfaces, and Films

6 1806 Matsuo et al.: Role of N 2 addition on CF 4 /O 2 CDE 1806 FIG. 10. Low oxygen real-time ellipsometry. At time a the microwave power is turned on. At b the plasma is stabilized and surface roughness forms. Twenty sccm of N 2 is injected at time c which removes this roughness. At time d the plasma is extinguished, but surface passivation results in a modified surface. Finally at e the chamber is evacuated using the turbo-molecular pump and the surface dynamics cease. nm. In the oxygen rich processing regime, postplasma desorption occurs for gas flows both with and without nitrogen, although a more significant loss is observed for discharges void of N 2. Measured reductions in layer thickness are just over 2.0 nm for the nitrogen free discharge and, for a plasma containing nitrogen, a slightly less dramatic 1.7 nm. 2. Poly Si versus c-si surface comparison A careful comparison of the surfaces of poly-si and Si 100 after chemical dry etching using XPS showed that the two kinds of surfaces showed basically the same modifications as a result of CDE for the different process conditions. These results are presented in Fig. 14. This prompted us to interpret changes of the poly-si etch rates as a function of process conditions using surface analysis results which were in part obtained using single-crystal Si. For a pure CF 4 discharge, there were, however, surface chemistry modifications observed between Si 100 and poly-si samples. These differences are illustrated in Fig. 15 a. However, as seen in Fig. 15 b, when nitrogen is added to the feed gas, this relatively increased reactivity of fluorine with the surface disappears and the two surfaces become virtually identical to XPS. This slightly different surface modification of singlecrystalline as opposed to polycrystalline silicon in the form of the increased reactivity of fluorine with c-si could be attributed to the more effective relaxation in the Si 100 surface than the poly Si. 3. Gas composition effects Etched specimens like those used for Figs. 10 and 11 were transferred into the multitechnique surface analysis system for x-ray photoemission measurements. The survey spectra showed Si related peaks, and gas mixture dependent F1sand O 1s peaks. Carbon 1s emission was negligible for most etching conditions, except for pure CF 4 plasmas. However, even in that case it was very weak. Nitrogen 1 s emission was looked for but found to be very weak for all samples, independent of the amount of N 2 used in the etching experiment. This shows that, even though nitrogen plays a profound role in the etching of silicon, it is not incorporated in a stable reaction layer. Apparently any chemical groupings incorporating nitrogen are not stable on the surface but escape into the gas phase. Figure 16 a shows Si(2p) spectra obtained with single crystalline Si surfaces after CDE treatment using CF 4 /O 2 without and with 20 sccm N 2 injection, respectively. The CF 4 flow was fixed at 400 sccm. 17 In all spectra we note major peaks at either 100 ev binding energy or 105 ev binding energy, or both. The component at 99.7 ev is due to Si bonded Si, whereas the intensity at higher binding energy is due to Si bonded to F and O. We see that as the proportion of O 2 in the gas mixture is increased, the intensity of the peak near 105 ev binding energy increases, because a thicker SiF x O y reaction layer is formed in this case. A comparison of the curves representing gas flows with and without N 2 in Fig. 16 a shows that injection of N 2 into the discharge promotes a greater steady state thickness of the J. Vac. Sci. Technol. A, Vol. 15, No. 4, Jul/Aug 1997

7 1807 Matsuo et al.: Role of N 2 addition on CF 4 /O 2 CDE 1807 FIG. 11. High oxygen real-time ellipsometry. At time a the discharge is ignited and a thick reaction layer grows. At b, 20 sccm of N 2 is injected into the plasma and the thickness of this layer is increased. The nitrogen is switched on and off until at time f the discharge is extinguished and the thickness of the reaction layer is observed to decrease. SiF x O y reaction layer. This is consistent with the real-time ellipsometry data of Fig. 11. Figure 16 b qualitatively shows the fluorination of the reaction layers by means of F(1s) spectra obtained from XPS. For gas compositions void of oxygen, the F(1s) signal is enhanced with inclusion of N 2 in the feed gas. The oxygen content in the surface reaction layer is qualitatively shown in Fig. 16 c. Inclusion of nitrogen in the feed gas only serves to increase the oxidation of the reaction layer. The most dramatic enhancement of the O(1s) signal is seen at an O 2 /CF 4 ratio of For both discharges devoid of oxygen and with a high proportion of O 2, the O(1s) signal is minimally affected by inclusion of nitrogen, although this effect is enhanced with increasing quartz tube length. Analysis of the XPS spectra gives a reliable idea of the reaction layer thicknesses resulting from low oxygen processing, where surface roughness makes the use of real-time ellipsometry obsolete for this purpose. Using the assumption FIG. 12. Ellipsometrically determined reaction layer thicknesses vs quartz lined transport tube length for a high proportion of O 2 in the discharge. Panel a represents steady state etching conditions while b is the postplasma thickness. FIG. 13. Changes in the reaction layer thickness due to the postplasma effect represented by the time evolution of the ellipsometric variable Delta. Curves a and b represent an O 2 /CF 4 ratio of 0.15 without and with 20 sccm of N 2, respectively. Curves c and d represent an O 2 /CF 4 ratio of 0.75 without and with 20 sccm of N 2, respectively. JVST A - Vacuum, Surfaces, and Films

8 1808 Matsuo et al.: Role of N 2 addition on CF 4 /O 2 CDE 1808 FIG. 14. Comparisons of Si (2p) spectra for c-si vs poly Si for O 2 /CF 4 ratios of a 0.00, b 0.15, and c Curves are shown without admixed nitrogen. FIG. 16. XPS spectra obtained with an electron emission angle of 15 qualitatively illustrating the effects of gas composition on the silicon surface. Panel a are the Si (2p) spectra, b F(1s), and c areo(1s). that the photoelectron escape probability drops off proportional to 1/e for each inelastic mean free path of travel through the reaction layer, the thickness, d r is obtained by d r ln I re /I el k 1 1, where is the inelastic mean free path of Si(2p) photoelectrons in the reaction layer, k is a constant which depends on the ratio of the Si number density in the reaction layer and the substrate, and on the ratio of the attenuation lengths of the Si(2p) photoelectrons in the reaction layer and the substrate, and I re and I el are the intensities of the reacted and elemental Si(2p) components, respectively. 23,26 A k value of 0.6 was assumed which gave good agreement to the ellipsometrically determined postplasma reaction layer thicknesses. The deviation from the SiO 2 -like k value of 0.3 considered elsewhere 23 is attributed to the stoichiometrically incomplete FIG. 15. Comparisons of Si (2p) spectra for c-si and poly Si illustrating the effect N 2 addition has on eliminating surface differences. Panel a represents a nitrogen free plasma, while in b the N 2 /CF 4 ratio is SiF x O y reaction layers formed under our conditions. Figure 17 shows the thickness of the reaction layer as a function of O 2 /CF 4 in the discharge both with and without added nitrogen. The thicknesses resulting from high oxygen processing have been included from the previously shown ellipsometry data see Fig. 12 for completeness. An increase in the reaction layer thickness is seen upon injection of nitrogen into the discharge. The stoichiometry of a reaction layer could certainly account for the opposing trends in the etch rates and the thickness of the SiF x O y reaction layer. A more highly fluorinated layer would be both more responsive to each F atom arriving at the surface i.e., a higher probability that an impinging F atom could create volatile SiF 4, and subsequently more effective at removing Si atoms at the Si-reaction layer interface. For these gas flows, it is justified to consider both the postplasma reaction layer thickness and the steady state reaction layer thickness during etching as close to zero and it should follow that the stoichiometry of this layer should not be considered significant to the etching dynamics. Even so, photoemission data like those seen in Fig. 16 were curve fitted to extract quantitative numbers. The constraints on this curve fitting procedure have been described elsewhere. 23 Subsequently, quantitative values for the stoichiometry of the layer could be determined. Figure 18 presents these results for O/Si reacted and F/Si reacted versus increasing O 2 content in the feed gas. Nitrogen addition to a pure CF 4 plasma only slightly enhances the oxidation of the near surface reaction layer XPS emission angle of 75 to the surface normal, but, as oxygen is included in the discharge, this effect is enhanced. It is clear that the stoichiometry of the reaction layer cannot explain the etch rates simply in terms of the higher fluorination. The observed increase in oxidation should slow the reaction of available fluorine with the surface of the reaction layer the vacuum-reaction layer interface and the subsequent lack of fluorine and associated radi- J. Vac. Sci. Technol. A, Vol. 15, No. 4, Jul/Aug 1997

9 1809 Matsuo et al.: Role of N 2 addition on CF 4 /O 2 CDE 1809 FIG. 17. The thickness of the surface reaction layer vs the O 2 /CF 4 ratio as determined by XPS. The ellipsometrically determined thicknesses for O 2 /CF are included for comparison. cals reaching the etching interface should result in a decreased etch rate. The observation of an actual etch rate enhancement is evidence for a reactive oxygen containing species. 4. Transport tube length effects Figure 19 shows the thickness of the reaction layer versus quartz tube length for a a pure CF 4 discharge and CF 4 with N 2 inclusion and b discharges both with and without nitrogen and a O 2 /CF 4 ratio of For discharges devoid of oxygen, the behaviors of the reaction layers are similarly, both with and without admixed nitrogen, constant with increasing quartz tube length. When both N 2 and a low proportion of O 2 O 2 /CF ) are admixed into the CF 4 discharge, the resulting reaction layer thickness is consistently higher than that which results from a CF 4 /O 2 discharge devoid of nitrogen. Again, the thickness stays constant with increasing quartz tube length. Comparisons with etch rates reveal no correlation between retarded rates and constant reaction layer thicknesses, FIG. 19. The thickness of the surface reaction layer vs quartz lined transport tube length. Panel a is for a discharge without oxygen, while in b the O 2 /CF 4 ratio is therefore these trends cannot account for the etching mechanism. Therefore, the increase in the surface reaction probability upon injection of N 2 into the discharge must be promoted by another mechanism. Figure 20 a shows the stoichiometry of the reaction layer in the form of O(1s)/F(1s) XPS peak areas versus quartz lined transport tube length for discharges without admixed oxygen. The reaction layer maintains a constant O/F ratio and no correlation with the etch rate data can be seen. Figure 20 b also shows that stoichiometry of the reaction layer remains roughly the same at 0 cm as it is for the 125 cm tube length. Therefore, the dominant etching mechanism must again be due to some unconsidered enhancement to the surface reaction probability. In the high oxygen regime (O 2 /CF ), the surface reaction layer thickness becomes the dominant mechanism by which the etch rate is limited. Comparing the etch rates with the thickness of the surface reaction layer as determined by real-time ellipsometry for the gas feed containing N 2, one sees good agreement. Again, the fluorine concentration is approximately constant with length. For the discharge devoid of nitrogen, the surface levels of fluorine and oxygen stay constant, as can be seen from the O(1s)/F(1s) emission signals in Fig. 20 c, although, as will be discussed next, these high oxygen setting surface reaction layers are not stable after the plasma has been extinguished and therefore the postplasma surface analysis may not be truly indicative of what is taking place during etching. FIG. 18. The stoichiometry of the reaction layer vs O 2 /CF 4 flows in terms of the a O(1s) and b F(1s) peak areas over the reacted Si peak area. 5. Lining material effects Figures 21 a 21 c shows Si(2p), F(1s), and O(1s) XPS spectra for gas flows containing nitrogen. The curves represent surfaces processed using either a quartz or a Teflon liner. The Si(2p) peaks indicate slightly more reactivity when the quartz liner is used. For gas feeds containing nitrogen but no oxygen, use of a Teflon liner reduces the ob- JVST A - Vacuum, Surfaces, and Films

10 1810 Matsuo et al.: Role of N 2 addition on CF 4 /O 2 CDE 1810 FIG. 20. The stoichiometry of the reaction layer vs quartz lined transport tube length in terms the O (1s) tof(1s) ratio. Panel a represents an O 2 /CF 4 ratio of 0.00, b 0.15, and c served fluorination of the reaction layer. As the level of O 2 in the discharge is increased to a moderate flow, the fluorination of the surface layer approaches the same value for both the quartz and Teflon liners. For a high proportion of oxygen in the feed gas, use of the Teflon liner provides for a significantly more fluorinated reaction layer than for that seen with quartz. Except for a nitrogen containing gas flow with a O 2 /CF 4 ratio of 0.15, a higher oxidation of the surface reaction layer is seen when a quartz liner is used, although these modifications are much more subtle than those observed for the F(1s) spectra. 6. Transport tube geometry effects A bend in the 125 cm quartz lined transport tube also significantly affected the etch rates. Figures 22 a 22 c compare XPS spectra for a straight geometry and one bend positioned adjacent to the applicator. All curves represent gas flows containing nitrogen. Unless a high fraction of oxygen is included in the gas feed, the bend clearly results in a more reactive surface. With no oxygen in the discharge, both the F(1s) and O(1s) signal are increased, although the increase in the oxygen spectrum is much more pronounced. When the O 2 /CF 4 ratio is 0.15, the bend results in a higher oxidation of the reaction layer. At this time, more experiments are necessary to elucidate the mechanism by which the bend affects the etching. IV. DISCUSSION OF RESULTS For the etching of Si under the gas chemistries used in this work, it has been established that the primary etch product is SiF 4. 2,10,4 16 Therefore, the etch rates are determined by the rate at which this SiF 4 is produced and can leave the surface. Under this consideration, three mechanisms for the etch rate enhancement were delineated and compared with the experimental results. The first mechanism is enhanced atomic fluorine production in the discharge. The second FIG. 21. XPS spectra reveal surface modifications resulting from the transport tube lining material. Panel a shows the Si (2p) spectra, b the F (1s) spectra, and c theo(1s) spectra. All data were taken at an electron emission angle of 15 and were processed with a N 2 /CF 4 ratio of mechanism is the survival of reactive species during travel to the etching interface. This is accomplished by the decreased reactivity of the F species with the transport tube and chamber lining due to the passivation of these surfaces. The third mechanism is an enhancement in the surface reaction probability, e.g., due to the dynamics of the surface reaction/ passivation layer or the availability of an energy that enhances the reaction rate. A. Gas composition effect and enhanced silicon etching Figure 9 shows the NO concentration in the processing chamber as a function of the O 2 /CF 4 ratio in the discharge. There is evidence for a NO assisted etching mechanism in the case of Si 3 N 4 etching using CF 4 /O 2 /N 2. A comparison of NO mass spectrometry results with the observed etch rates for Si 3 N 4 exhibits a powerful correlation. 17,18 In Fig. 23 we correlate the etching behavior of poly Si with the NO chamber concentration. Upon increasing the O 2 /CF 4 ratio above 0.15 for discharges containing nitrogen, the increased thickness in the surface reaction layer and the resulting increase in the time constant for diffusion through this barrier 27 retard the etch rate. This NO trend exhibits a higher correlation with etch rates than the concentration of atomic fluorine. This thickening of the reaction layer is not seen in the case of Si 3 N 4 etching 17,18 and this explains the near perfect agreement with the NO concentration in that case. Based upon the sum of evidence seen for a mechanism by which the surface reaction probability is enhanced, we can propose an etching mechanism which is supported by both gas phase and surface analysis data. For discharges without nitrogen, the etching is limited by the effectiveness of the attack of the atomic fluorine and the rate at which the volatile J. Vac. Sci. Technol. A, Vol. 15, No. 4, Jul/Aug 1997

11 1811 Matsuo et al.: Role of N 2 addition on CF 4 /O 2 CDE 1811 FIG. 23. Poly-Si etch rate vs the concentration of NO in the processing chamber. A dependence similar to Fig. 24 is apparent. FIG. 22. XPS spectra reveal surface modifications resulting from the transport tube lining geometry. Panel a shows the Si (2p) spectra, b the F (1s) spectra, and c theo(1s) spectra. All data were taken at an electron emission angle of 15 and were processed with a N 2 /CF 4 ratio of SiF 4 can be formed and removed from the surface. When the discharge gas consists of pure CF 4, the concentration of atomic fluorine is itself the limiting agent. When small amounts of O 2 are added, the oxidation of the CF 4 daughter species allows for higher F concentrations and the etch rate is enhanced. At this point there is sufficient fluorine available to explain the highest observed etch rate. Addition of nitrogen into the discharge again increases this etch rate by another factor of 2, even though the arrival rate of atomic fluorine does not increase. The addition of N 2 results in the strong production of NO and atomic oxygen. The mechanism by which the NO enhances the poly-si etch rate could be as follows: once oxygen is included in the discharge and the fluorine concentration becomes more than sufficient, a reaction layer composed of Si, O, and F is formed. NO arrives at the surface and attacks the Si O bonds. The formation of NO 2 is favorable and this removal of oxygen creates an open bond for whatever may come its way. In the fluorine rich regime, the probability of this bond being attacked by F is greater than reoxidation and the etch rate will in response increase. This is consistent with the observed decrease in surface roughness when N 2 is added to the fluorine rich discharge, since the surface roughening is typically due to microscopic etch masks, i.e., SiO 2 on the Si. In the oxygen rich processing regime, there is a significant increase in the dissociation of molecular oxygen upon N 2 injection. This explains the increased oxidation seen in the reaction layer as well as the sharp decrease in etch rate as compared to those seen for CF 4 /O 2 processing. More work is needed to isolate the role of N 2 and to determine if it is one of the metastable states of NO which play an important role in the etching behavior of poly Si. At the 0 cm tube setting and for discharges absent of oxygen, injection of nitrogen into the plasma increases the atomic fluorine concentration in the discharge only slightly. However, this effect is not seen downstream in the chamber. Recall, in fact, that the 19 amu F signal is reduced upon injection of nitrogen see mass spectrometry results. So even at the 0 cm tube setting, this increased level of free fluorine in the discharge has been recombined before it enters the reaction chamber and the mass spectrometer. This leaves us with only the third mechanism, the increased surface reaction probability, as an explanation of the observed etch rate enhancement. Surface roughness makes it difficult to obtain accurate reaction layer thicknesses by means of ellipsometry when no oxygen, or only a low proportion of oxygen, is fed into discharges devoid of nitrogen. However, these surfaces become smoothed when nitrogen is included into the discharge, and, hence, real-time ellipsometry measurements become feasible and suggest that it is an extremely thin reaction layer which is formed. Analysis of XPS determined thicknesses to be discussed later suggests that this thin postplasma reaction layer increases slightly in thickness with N 2 inclusion at this parameter setting. This thickening of the surface layer and the enhanced etch rate verifies the onset of a more reactive surface upon N 2 injection into the discharge. In Fig. 24 we plot the poly-si etch rate versus the concentration of atomic fluorine in the chamber for discharges both with and without nitrogen. The fluorine concentration was determined by mass spectrometry using the results found in a reference plasma-off condition subtracted from those measured during actual processing conditions. For the curve representing the discharge devoid of nitrogen, we see the familiar drop in the etch rate as surface effects begin to dominate the etching process. But when compared to the curve representing the CF 4 /O 2 /N 2 plasma, it becomes immediately clear that, even in the low fluorine regime, there is more than enough F available to account for almost a tripling of the etch rate. Then both etch rates drop off in response to the JVST A - Vacuum, Surfaces, and Films

12 1812 Matsuo et al.: Role of N 2 addition on CF 4 /O 2 CDE 1812 FIG. 24. Poly-Si etch rate vs the concentration of atomic fluorine in the processing chamber. Both the abundance of available F and the effects of the thickening surface reaction layer are illustrated in this figure. surface reaction layer until, finally, at a high oxygen proportion, the behavior of the two curves becomes more similar. FIG. 25. The behavior of the etch rates vs the availability of atomic fluorine in the processing region vs quartz lined transport tube length. Panel a represents pure CF 4 processing while panel b is for a N 2 /CF 4 ratio of B. Tube length For a discharge of pure CF 4, as the distance from the plasma to the etching region is increased, the fall in the arrival of atomic fluorine drops off faster than the poly-si etch rate see Fig. 25. This suggests that the etching region is saturated with atomic fluorine for much of our parameter space. With N 2 injected into the plasma, this trend is reversed and the etch rate quickly drops off while the amount of atomic fluorine in the etching region exhibits a slow, near linear drop-off. Therefore it is not the loss of atomic fluorine during transport from the plasma to the processing chamber which explains the diminishing etch rates as the transport tube is lengthened. The thickness of the postplasma reaction layer also remains quite constant with tube length for this gas composition see Fig. 20 and is therefore eliminated as a contributor. The decrease in etch rates seen for the discharges containing nitrogen and a low proportion of O 2 cannot result from changes in the free fluorine density or the thickness of the surface reaction layer see Figs. 4, 7, and 20. C. Real-time versus post plasma surface analysis We attribute the increase in thickness of the surface reaction layer upon the extinguishing of the discharge containing a low proportion of O 2 as seen in Fig. 13 to the passivation of the sample surface by long lived reactive species produced in the discharge and species desorbed from the reactor walls. During processing, low oxygen flows admixed to small amounts of N 2 result in a high atomic fluorine concentration. It is this fluorine attack, made effective by the arrival of NO related species, which leaves a thin reaction layer through which all the volatile SiF 4 can quickly diffuse through and then escape into the gas phase. It is this surface, deficient in SiF x compounds, which is susceptible to the postplasma increase in reaction layer thickness. The removal of a portion of the reaction layer as seen in Fig. 13 after the chamber is evacuated using the turbomolecular pump must be due to postplasma desorption of volatile species existing within the reaction layer. Comparisons with stoichiometry determined from XPS show our reaction layer to be basically a SiF x O y film. Postplasma surface modification must be due to the desorption of fluorine based compounds. Under this consideration, XPS determined stoichiometry needs to be interpreted in such a way as to account for this escape of fluorine that made up the steady state etching reaction layer. It is of interest to note that the most profound postplasma modification is found for discharges containing a high proportion of oxygen. Oehrlein et al. investigated this effect under reactive ion etching RIE processing conditions and found that the postplasma desorption of volatile species from the reaction layer occurred for fluorine rich discharges, and that, for oxygen rich discharges, the reaction layer was stable upon extinguishing the discharge. 23,24 It is important to note the absence of ion bombardment in our case, and it is possible that the instability of the reaction layers seen under these processing conditions results from its thickness. For fluorine rich discharges, ultrathin layers allow passivation of the sample surface and the dynamics of the reaction layer quickly die once the discharge is extinguished and the chamber is pumped down. On the other hand, for oxygen rich discharges, the lag in desorption due to diffusion constants for the etching reactants and their products extends this active period of surface modifications well into the postplasma stage. J. Vac. Sci. Technol. A, Vol. 15, No. 4, Jul/Aug 1997

13 1813 Matsuo et al.: Role of N 2 addition on CF 4 /O 2 CDE 1813 V. CONCLUSIONS Although, in the most general sense, the etch rate limiting parameter for the chemistries being used in this work is the availability of atomic fluorine to form the primary etch product of SiF 4, we observed that this limit is never realized under our processing conditions, except for perhaps a pure CF 4 discharge. Instead, there is an enhancement of the net reaction probability of fluorine with silicon. Our data are constant with, although not sufficient to establish in detail, the notion of a complex enhancement in the efficiency of interaction between the atomic fluorine and silicon when, in the presence of oxygen, addition of N 2 to the CF 4 /O 2 discharge increases the abundance of NO and O atoms. Addition of 5% N 2 toacf 4 /O 2 discharge doubles the etch rate, even though the F concentration goes down. Recent measurements using direct downstream injection of nitric oxide support the proposed role of NO and will be discussed in a future work. 28 Strong surface chemical changes are observed upon N 2 addition, although little nitrogen is incorporated in the reaction layer. The nitrogen is active only as a reactive intermediate. Depending on the O 2 /CF 4 ratio, i.e., the predominance of F or O, either thinning or thickness growth of the modified surface layer can be seen. These changes in surface reactivity enable control of the surface texture, e.g., the surface roughening of Si, which is seen for low O 2 concentrations in CF 4 is absent if CF 4 /O 2 /N 2 gas mixtures are used, especially O 2 rich gas compositions. For pure CF 4 discharges, a simple linear decrease in etch rate with tube length is seen, whereas for CF 4 /N 2 and CF 4 /O 2 /N 2, the decrease is much quicker. A simple wall passivation model cannot explain this data, since the quantity that is etch rate limiting depends on the CF 4 /O 2 /N 2 gas composition. The influence of lining material, tube length, and geometry on the etch rate varies as the gas composition is altered. ACKNOWLEDGMENTS This work was sponsored in part by SEMATECH, Leybold Inficon, and the New York State Center for Advanced Technology in Thin Films and Coatings. The authors would like to thank M. Schaepkens and H. J. Sun for their constant helpfulness. M. Dömling and M. Keller are thanked for their assistance in analyzing data. N. R. Ruėger is appreciated for his useful discussions as are M. Blain, L. Frees, D. Bonser, and T. Dao. 1 L. M. Lowenstein, J. Vac. Sci. Technol. A 6, ; H. Nishino et al., ibid. 74, H. Nishino, N. Hayasaka, K. Horioka, J. Shiozawa, S. Nadahara, N. Shooda, Y. Akama, A. Sakai, and H. Okano, J. Appl. Phys. 74, J. M. Cook, Solid State Technol Y. Horiike and M. Shibagaki, Proceedings of the 7th Conference on Solid State Devices, Tokyo 1975 unpublished, p. 13. Suplement to J. Appl. Phys C. T. Gabriel, J. Vac. Sci. Technol. B 9, L. M. Lowenstein and C. M. Tipton, J. Electrochem. Soc. 138, C. J. Mogab, A. C. Adams, and D. L. Flamm, J. Appl. Phys. 49, G. S. Oehrlein, S. W. Robey, and L. Lindstrom, Appl. Phys. Lett. 52, J. H. Thomas and J. S. Maa, Appl. Phys. Lett. 43, K. R. Ryan and I. C. Plumb, Plasma Chem. Plasma Process. 6, I. C. PLumb and K. R. Ryan, Plasma Chem. Plasma Process. 6, J. L. Mauer, J. S. Logan, L. B. Zielinski, and G. C. Schwartz, J. Vac. Sci. Technol. 15, M. Dalvie and K. F. Jensen, J. Vac. Sci. Technol. A 8, D. L. Flamm, V. M. Donnelly, and J. A. Mucha, J. Appl. Phys. 52, H. F. Winters, J. W. Coburn, and T. J. Chuang, J. Vac. Sci. Technol. B 1, H. F. Winters and I. C. Plumb, J. Vac. Sci. Technol. B 9, B. E. E. Kastenmeier, P. J. Matsuo, J. J. Beulens, and G. S. Oehrlein, J. Vac. Sci. Technol. A 14, ; M. G. Blain, T. L. Meisenheimer, and J. E. Stevens, ibid. 14, J. J. Beulens, B. E. E. Kastenmeier, P. J. Matsuo, and G. S. Oehrlein, Appl. Phys. Lett. 66, K. Ninomiya, K. Suzuki, S. Nishimatsu, and O. Okada, J. Appl. Phys. 58, R. d Agostino, F. Cramarossa, S. De Benedictis, and G. Ferraro, J. Appl. Phys. 52, W. Coburn and M. Chen, J. Appl. Phys. 51, G. Hancock, J. P. Sucksmith, and M. J. Toogood, J. Phys. C 94, G. S. Oehrlein, J. Vac. Sci. Technol. A 11, G. S. Oehrlein, G. Kroesen, and L. Lindstrom, J. Vac. Sci. Technol. A 10, F. L. McCrackin, N. B. S. Technical Note 479, National Bureau of Standards, Washington, D.C., D. Briggs and M. P. Shea, Practical Surface Analysis Wiley, New York, 1990, p M. Kojima, H. Kato, and M. Gatto, J. Appl. Phys. 75, P. J. Matsuo, B. E. E. Kastenmeier, G. S. Oehrlein, and J. G. Langan, J. Vac. Sci. Technol. A in preparation. JVST A - Vacuum, Surfaces, and Films

Silicon etching in NF 3 /O 2 remote microwave plasmas

Silicon etching in NF 3 /O 2 remote microwave plasmas Silicon etching in NF 3 /O 2 remote microwave plasmas P. J. Matsuo, a) B. E. E. Kastenmeier, and G. S. Oehrlein b) Department of Physics, University at Albany, State University of New York, Albany, New

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

Reactive Etching and Surface Damage

Reactive Etching and Surface Damage PARTI Reactive Etching and Surface Damage ATOMIC AND MOLECULAR BEAM STUDIES OF ETCHING AND RELATED SURFACE CHEMISTRIES J.W. Coburn IBM Research Division, K33/801, 650 Harry Road, San Jose, CA 95120-6099

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Xi Li, a) Li Ling, Xuefeng Hua, Masanaga Fukasawa, b) and Gottlieb S. Oehrlein c) Department of Materials Science and Engineering

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis GE Global Research Vincent S. Smentkowski, Cameron Moore and Hong Piao 04GRC955, October 04 Public (Class ) Technical Information Series

More information

The chemistry of a CCl 2 F 2 radio frequency discharge

The chemistry of a CCl 2 F 2 radio frequency discharge The chemistry of a CCl 2 F 2 radio frequency discharge W. W. Stoffels, E. Stoffels, M. Haverlag, G. M. W. Kroesen, and F. J. de Hoog Department of Physics, Eindhoven University of Technology, 5600 MB Eindhoven,

More information

Secondary Ion Mass Spectrometry (SIMS)

Secondary Ion Mass Spectrometry (SIMS) CHEM53200: Lecture 10 Secondary Ion Mass Spectrometry (SIMS) Major reference: Surface Analysis Edited by J. C. Vickerman (1997). 1 Primary particles may be: Secondary particles can be e s, neutral species

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification Plasma Processing of Large Curved Surfaces for SRF Cavity Modification J. Upadhyay, 1 Do Im, 1 S. Popović, 1 A.-M. Valente-Feliciano, 2 L. Phillips, 2 and L. Vušković 1 1 Department of Physics - Center

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX Understanding process-dependent oxygen vacancies in thin HfO 2 /SiO 2 stacked-films on Si (100) via competing electron-hole injection dynamic contributions to second harmonic generation. J. Price, 1,2

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

X-ray photoelectron study of the reactive ion etching of Si x Ge 1 x alloys in SF 6 plasmas

X-ray photoelectron study of the reactive ion etching of Si x Ge 1 x alloys in SF 6 plasmas X-ray photoelectron study of the reactive ion etching of Si x Ge 1 x alloys in SF 6 plasmas M. C. Peignon, Ch. Cardinaud, and G. Turban Laboratoire des Plasmas et Couches Minces, Institut des Matériaux

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Evidence for structure sensitivity in the high pressure CO NO reaction over Pd(111) and Pd(100)

Evidence for structure sensitivity in the high pressure CO NO reaction over Pd(111) and Pd(100) Evidence for structure sensitivity in the high pressure CO NO reaction over Pd(111) and Pd(100) Scott M. Vesecky, Peijun Chen, Xueping Xu, and D. Wayne Goodman a) Department of Chemistry, Texas A&M University,

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Chemical Reactions Induced by Ionizing and Electron-beam Irradiation in Freon/Water (Ice) Films

Chemical Reactions Induced by Ionizing and Electron-beam Irradiation in Freon/Water (Ice) Films Chemical Reactions Induced by Ionizing and Electron-beam Irradiation in Freon/Water (Ice) Films Johns Hopkins University (founded in 1876) Dr. C.C. Perry Prof. D.H. Fairborther School of Arts & Sciences

More information

Etching silicon by SF 6 in a continuous and pulsed power helicon reactor

Etching silicon by SF 6 in a continuous and pulsed power helicon reactor Etching silicon by SF 6 in a continuous and pulsed power helicon reactor A. Herrick, A. J. Perry, and R. W. Boswell Citation: Journal of Vacuum Science & Technology A 21, 955 (2003); doi: 10.1116/1.1575215

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma Vol. 117 (2010) ACTA PHYSICA POLONICA A No. 3 Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma R. Knizikevičius Department of Physics, Kaunas University of Technology, 73 K. Donelaičio St., LT-44029

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth Institute of Physics Publishing Journal of Physics: Conference Series 10 (2005) 246 250 doi:10.1088/1742-6596/10/1/061 Second Conference on Microelectronics, Microsystems and Nanotechnology Oxidation of

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Secondary Ion Mass Spectroscopy (SIMS)

Secondary Ion Mass Spectroscopy (SIMS) Secondary Ion Mass Spectroscopy (SIMS) Analyzing Inorganic Solids * = under special conditions ** = semiconductors only + = limited number of elements or groups Analyzing Organic Solids * = under special

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O

Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O Guangquan Lu, a) Laura L. Tedder, b) and Gary W. Rubloff c) NSF Engineering Research Center

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma

Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma R. L. Bruce, a T. Lin, R. J. Phaneuf, and G. S. Oehrlein b Department of Material Science and Engineering and

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT Journal of Optoelectronics and Advanced Materials Vol. 7, No. 5, October 2005, p. 2529-2534 ARGON RF PLASMA TREATMENT OF FILMS FOR SILICON FILMS ADHESION IMPROVEMENT I. A. Rusu *, G. Popa, S. O. Saied

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012830 TITLE: XPS Study of Cu-Clusters and Atoms in Cu/SiO2 Composite Films DISTRIBUTION: Approved for public release, distribution

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Deuterium and fluorine radical reaction kinetics on photoresist*

Deuterium and fluorine radical reaction kinetics on photoresist* Deuterium and fluorine radical reaction kinetics on photoresist* Frank Greer, J. W. Coburn, and David B. Graves a) Department of Chemical Engineering, University of California, Berkeley, California 94720

More information

Hysteresis-free reactive high power impulse magnetron sputtering

Hysteresis-free reactive high power impulse magnetron sputtering Linköping University Postprint Hysteresis-free reactive high power impulse magnetron sputtering E. Wallin and U. Helmersson N.B.: When citing this work, cite the original article. Original publication:

More information

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127 Real-time process sensing and metrology in amorphous and selective area silicon plasma enhanced chemical vapor deposition using in situ mass spectrometry Ashfaqul I. Chowdhury, a) Walter W. Read, a) Gary

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions N. Fox-Lyon, G.S. Oehrlein Department of Material Science and Engineering, Department of Physics, and Institute for Research

More information

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant Low temperature anodically grown silicon dioxide films for solar cell applications Nicholas E. Grant Outline 1. Electrochemical cell design and properties. 2. Direct-current current anodic oxidations-part

More information

Nonthermal and nonequilibrium effects in high-power pulsed ICP and application to surface modification of materials*

Nonthermal and nonequilibrium effects in high-power pulsed ICP and application to surface modification of materials* Pure Appl. Chem., Vol. 74, No. 3, pp. 435 439, 2002. 2002 IUPAC Nonthermal and nonequilibrium effects in high-power pulsed ICP and application to surface modification of materials* T. Ishigaki 1,, N. Okada

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

Si/XeF2 etching: Temperature dependence

Si/XeF2 etching: Temperature dependence Si/XeF2 etching: Temperature dependence Vugts, M.J.M.; Verschueren, G.L.J.; Eurlings, M.F.A.; Hermans, L.J.F.; Beijerinck, H.C.W. Published in: Journal of Vacuum Science and Technology. A: Vacuum, Surfaces,

More information

Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas

Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas Qiaowei Lou, Sanbir Kaler, Vincent M. Donnelly, a) and Demetre J. Economou b) Plasma Processing

More information

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS Technical Paper Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 617-624 1993TAPJ FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS YASUO NARA, YosHIHto SUGITA,

More information

6.5 Optical-Coating-Deposition Technologies

6.5 Optical-Coating-Deposition Technologies 92 Chapter 6 6.5 Optical-Coating-Deposition Technologies The coating process takes place in an evaporation chamber with a fully controlled system for the specified requirements. Typical systems are depicted

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. INTRODUCTION As device density increases according to Moore s law,

More information

Cathodoluminescence spectroscopy of nitrided SiO 2 Si interfaces

Cathodoluminescence spectroscopy of nitrided SiO 2 Si interfaces Cathodoluminescence spectroscopy of nitrided SiO 2 Si interfaces A. P. Young a) Department of Electrical Engineering, The Ohio State University, Columbus, Ohio 43210-1272 R. Bandhu Department of Physics,

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy The very basic theory of XPS XPS theroy Surface Analysis Ultra High Vacuum (UHV) XPS Theory XPS = X-ray Photo-electron Spectroscopy X-ray

More information

H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion

H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion N. Fox-Lyon, F. Weilnboeck, G.S. Oehrlein Department of Material Science and Engineering, Department

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface. Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025

The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface. Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025 July 2008 SLAC-PUB-13302 The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface Yun Sun, a) Zhi Liu, Shiyu Sun, Piero Pianetta Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025 The

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

ELECTRON-cyclotron-resonance (ECR) plasma reactors

ELECTRON-cyclotron-resonance (ECR) plasma reactors 154 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 10, NO. 1, FEBRUARY 1997 Plasma-Parameter Dependence of Thin-Oxide Damage from Wafer Charging During Electron-Cyclotron-Resonance Plasma Processing

More information

Evaluation of the plasmaless gaseous etching process

Evaluation of the plasmaless gaseous etching process Solid State Phenomena Vol. 134 (28) pp 7-1 Online available since 27/Nov/2 at www.scientific.net (28) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.134.7 Evaluation of the plasmaless

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

NUMERICAL MODELING OF HEAT-MASS TRANSFER IN RADIAL FLOW PLASMA-CHEMICAL REACTOR WITH MULTICOMPONENT KINETICS CF4/O2

NUMERICAL MODELING OF HEAT-MASS TRANSFER IN RADIAL FLOW PLASMA-CHEMICAL REACTOR WITH MULTICOMPONENT KINETICS CF4/O2 NUMERICAL MODELING OF HEAT-MASS TRANSFER IN RADIAL FLOW PLASMA-CHEMICAL REACTOR WITH MULTICOMPONENT KINETICS CF 4 /O 2 Yu.N. Grigoryev and A.G. Gorobchuk Institute of Computational Technologies SB RAS,

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Special Properties of Au Nanoparticles

Special Properties of Au Nanoparticles Special Properties of Au Nanoparticles Maryam Ebrahimi Chem 7500/750 March 28 th, 2007 1 Outline Introduction The importance of unexpected electronic, geometric, and chemical properties of nanoparticles

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Chemistry Instrumental Analysis Lecture 34. Chem 4631

Chemistry Instrumental Analysis Lecture 34. Chem 4631 Chemistry 4631 Instrumental Analysis Lecture 34 From molecular to elemental analysis there are three major techniques used for elemental analysis: Optical spectrometry Mass spectrometry X-ray spectrometry

More information

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching Evaluating the Performance of c- 4 8, c- 5 8, and 4 6 for ritical Dimension Dielectric Etching B. Ji, P. R. Badowski, S. A, Motika, and E. J. Karwacki, Jr. Introduction: One of the many challenges I manufacturing

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process A. Singhal, L. Henn-Lecordier and J. N. Kidder Jr. University of Maryland, College Park, MD C.A. Gogol, J.F. Kushneir Inficon, Inc. East

More information

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors

Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Plasma abatement of perfluorocompounds in inductively coupled plasma reactors Xudong Peter Xu, a) Shahid Rauf, b) and Mark J. Kushner c) University of Illinois, Department of Electrical and Computer Engineering,

More information

Advanced Lab Course. X-Ray Photoelectron Spectroscopy 1 INTRODUCTION 1 2 BASICS 1 3 EXPERIMENT Qualitative analysis Chemical Shifts 7

Advanced Lab Course. X-Ray Photoelectron Spectroscopy 1 INTRODUCTION 1 2 BASICS 1 3 EXPERIMENT Qualitative analysis Chemical Shifts 7 Advanced Lab Course X-Ray Photoelectron Spectroscopy M210 As of: 2015-04-01 Aim: Chemical analysis of surfaces. Content 1 INTRODUCTION 1 2 BASICS 1 3 EXPERIMENT 3 3.1 Qualitative analysis 6 3.2 Chemical

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

DPP06 Meeting of The American Physical Society. Production of negative ion plasmas using perfluoromethylcyclohexane (C 7 F 14 )

DPP06 Meeting of The American Physical Society. Production of negative ion plasmas using perfluoromethylcyclohexane (C 7 F 14 ) 1 POSTER JP1.00100 [Bull. APS 51, 165 (2006)] DPP06 Meeting of The American Physical Society Production of negative ion plasmas using perfluoromethylcyclohexane (C 7 F 14 ) Su-Hyun Kim, Robert Merlino,

More information

Resonant photo-ionization of point defects in HfO 2 thin films observed by second-harmonic generation.

Resonant photo-ionization of point defects in HfO 2 thin films observed by second-harmonic generation. Optics of Surfaces & Interfaces - VIII September 10 th, 2009 Resonant photo-ionization of point defects in HfO 2 thin films observed by second-harmonic generation. Jimmy Price and Michael C. Downer Physics

More information

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber Journal of the Korean Physical Society, Vol. 51, No. 3, September 2007, pp. 978 983 Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

More information