H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion

Size: px
Start display at page:

Download "H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion"

Transcription

1 H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion N. Fox-Lyon, F. Weilnboeck, G.S. Oehrlein Department of Material Science and Engineering, Department of Physics, and Institute for Research in Electronics and Applied Physics University of Maryland, College Park, Maryland, N. Ning, D.B. Graves Department of Chemical Engineering, University of California, Berkeley, CA Presented at AVS International Symposium, October 21 st 2010

2 Motivation Plasma modification of amorphous hydrocarbon (a-c:h) Model material Hard mask materials Polymeric materials Fusion plasma facing materials How can we study change in surface properties in real time? Surface topography Chemical composition Depth of modification Can MD simulations reproduce/predict surface properties seen experimentally? Laboratory for Plasma Processing of Materials 2

3 Outline Plasma on a-c:h films Ar plasma H 2 plasma Ar/H 2 plasma Ion energy dependencies Experimental vs. molecular dynamics simulations Laboratory for Plasma Processing of Materials 3

4 Experimental Deposition of a-c:h films: CH 4 plasma 33-35% H 25-30% sp 3 bonds ~80 nm thick on silicon Erosion of a-c:h films: Ar plasma H 2 plasma Ar/H 2 plasma Characterization: In-situ single wavelength ellipsometry X-ray photoelectron spectroscopy Atomic force microscopy Laboratory for Plasma Processing of Materials 4

5 Real-time ellipsometry Real-time ellipsometry used to determine properties during growth of a-c:h films Allows for determining level and depth of modification in real-time Surface topography Chemical composition Density Laboratory for Plasma Processing of Materials 5

6 Deposition followed by erosion using H 2 or Ar Large change in optical density Opposite effects for Ar and H 2 plasmas Laboratory for Plasma Processing of Materials 6

7 Deposition followed by erosion using H 2 or Ar a-c:h H 2 Ar Large change in optical density Not due to roughening <1 nm RMS Laboratory for Plasma Processing of Materials 76

8 Ar plasma on a-c:h Laboratory for Plasma Processing of Materials 87

9 Ar plasma on a-c:h Laboratory for Plasma Processing of Materials 97

10 Ar plasma on a-c:h Exposing surface to Ar ions causes optical densification Real-time ellipsometry measurements also show film densification and loss of hydrogen Laboratory for Plasma Processing of Materials 7 10

11 Ar plasma on a-c:h H depleted layer thickness Exposing surface to Ar ions causes optical densification Real-time ellipsometry measurements also show film densification and loss of hydrogen Laboratory for Plasma Processing of Materials 7 11

12 Ar plasma on a-c:h H depleted layer thickness unmodified a-c:h thickness Exposing surface to Ar ions causes optical densification Real-time ellipsometry measurements also show film densification and loss of hydrogen Laboratory for Plasma Processing of Materials 7 12

13 Ar plasma on a-c:h Exposing surface to Ar ions causes optical densification Real-time ellipsometry measurements also show film densification and loss of hydrogen Laboratory for Plasma Processing of Materials 7 13

14 H 2 plasma on a-c:h 14 Laboratory for Plasma Processing of Materials 8

15 Ellipsometric map of H 2 on a-c:h Ellipsometric map A map of the real-time ellipsometric modeling of the H 2 plasma on a-c:h surfaces can be constructed A polymeric film (~50%H) is simulated on the surface 15 Laboratory for Plasma Processing of Materials 8

16 Ellipsometric map of H 2 on a-c:h Ellipsometric map A map of the real-time ellipsometric modeling of the H 2 plasma on a-c:h surfaces can be constructed A polymeric film (~50%H) is simulated on the surface 16 Laboratory for Plasma Processing of Materials 8

17 Ellipsometric map of H 2 on a-c:h polymeric layer thickness Ellipsometric map A map of the real-time ellipsometric modeling of the H 2 plasma on a-c:h surfaces can be constructed A polymeric film (~50%H) is simulated on the surface Laboratory for Plasma Processing of Materials 8 17

18 Ellipsometric map of H 2 on a-c:h polymeric layer thickness unmodified a-c:h thickness Ellipsometric map A map of the real-time ellipsometric modeling of the H 2 plasma on a-c:h surfaces can be constructed A polymeric film (~50%H) is simulated on the surface Laboratory for Plasma Processing of Materials 8 18

19 H saturated layer formation Hydrogenated layer high bias voltages lead to lower thickness of modified layer Laboratory for Plasma Processing of Materials 9 19

20 H 2 plasma bias/erosion rate effects Hydrogenated layer Lower thicknesses caused by higher erosion rates 20 Laboratory for Plasma Processing of Materials 10

21 Ar/H 2 plasmas on a-c:h 21 Laboratory for Plasma Processing of Materials 11

22 Ar/H 2 plasmas on a-c:h Two opposite surface effects Hydrogen depletion Ar Plasma Hydrogen saturation H 2 plasma What happens when H 2 gas is added to Ar discharge? Do we expect to see loss of densification? Re-population of sites with H? Erosion rate changes? 22 Laboratory for Plasma Processing of Materials 11

23 Ar/H 2 plasmas on a-c:h Surface modification At low hydrogen contents (<5%) the surface densifies like pure Ar At 5% H 2, the surface first densifies then hydrogenates to about 2 nm Higher %H 2 begin to approach pure H 2 in terms of H saturation at the surface 23 Laboratory for Plasma Processing of Materials 11

24 How does experiment compare with MD? a-c:h surface - Ar initial surface Laboratory for Plasma Processing of Materials 24 12

25 How does experiment compare with MD? Hydrogen depleted, dense a-c:h surface - Ar Loss of hydrogen Densification initial surface after 3000 impacts Laboratory for Plasma Processing of Materials 25 12

26 How does experiment compare with MD? Hydrogen depleted, dense a-c:h surface - Ar Loss of hydrogen Densification initial surface Qualitative/Quantitative agreement with experimental results after 3000 Ar impacts Laboratory for Plasma Processing of Materials

27 How does experiment compare with MD? a-c:h surface H 2 Laboratory for Plasma Processing of Materials 27 13

28 How does experiment compare with MD? initial surface a-c:h surface H 2 Laboratory for Plasma Processing of Materials 28 13

29 How does experiment compare with MD? initial surface 1500 H 2 impacts a-c:h surface H 2 Initial increase in thickness due to swelling Laboratory for Plasma Processing of Materials 29 13

30 How does experiment compare with MD? initial surface 1500 H 2 impacts 8000 H 2 impacts a-c:h surface H 2 Initial increase in thickness due to swelling Saturation of hydrogen (to ~50%) steady state layer Laboratory for Plasma Processing of Materials 30 13

31 How does experiment compare with MD? initial surface 1500 H 2 impacts 8000 H 2 impacts a-c:h surface H 2 Initial increase in thickness due to swelling Saturation of hydrogen (to ~50%) steady state layer Thickness - ~2nm vs. 6-8 nm seen experimentally Laboratory for Plasma Processing of Materials 31 13

32 Summary Ar plasma on a-c:h: Dense, hydrogen depleted layer formed Degree of modification increases with ion energy H 2 plasma on a-c:h: Initial surface swelling with hydrogen Steady-state hydrogen saturated layer at the surface Degree of modification shrinks with increasing ER Ar/H 2 plasma on a-c:h: Competition of hydrogen saturation and depletion Can control surface behavior with small plasma chemistry changes MD simulations: Quantitative agreement for Ar plasma on a-c:h Qualitative agreement for H 2 plasma on a-c:h Laboratory for Plasma Processing of Materials

33 Acknowledgements We thank E. Bartis and M. Graves for helpful discussions and contributions to this project. We gratefully acknowledge support of this work by the US Department of Energy Office of Fusion Energy Sciences (DE-SC ) Laboratory for Plasma Processing of Materials

Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions

Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions Graves Lab Department of Chemical and Biomolecular Engineering University of California, Berkeley Hydrogen/Argon Plasma-Amorphous Carbon Near-Surface Interactions N. Ning, and D. B. Graves Department of

More information

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b)

More information

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions N. Fox-Lyon, G.S. Oehrlein Department of Material Science and Engineering, Department of Physics, and Institute for Research

More information

ABSTRACT. Nicholas Andrew Fox-Lyon Doctor of Philosophy, 2013

ABSTRACT. Nicholas Andrew Fox-Lyon Doctor of Philosophy, 2013 ABSTRACT Title of Document: PLASMA-SURFACE INTERACTIONS DURING REACTIVE PLASMA PROCESSING OF HYDROCARBON FILMS Nicholas Andrew Fox-Lyon Doctor of Philosophy, 2013 Directed By: Professor Gottlieb S. Oehrlein

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Thomas Schwarz-Selinger. Max-Planck-Institut for Plasmaphysics, Garching Material Science Division Reactive Plasma Processes

Thomas Schwarz-Selinger. Max-Planck-Institut for Plasmaphysics, Garching Material Science Division Reactive Plasma Processes Max-Planck-Institut für Plasmaphysik Thomas Schwarz-Selinger Max-Planck-Institut for Plasmaphysics, Garching Material Science Division Reactive Plasma Processes personal research interests / latest work

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

ABSTRACT. in the fabrication of integrated circuits and nanostructures. As the dimensions of

ABSTRACT. in the fabrication of integrated circuits and nanostructures. As the dimensions of ABSTRACT Title of Document: INFLUENCE OF POLYMER STRUCTURE ON PLASMA-POLYMER INTERACTIONS IN RESIST MATERIALS Robert Lawson Bruce, Doctor of Philosophy, 2010 Directed By: Professor Gottlieb S. Oehrlein,

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis GE Global Research Vincent S. Smentkowski, Cameron Moore and Hong Piao 04GRC955, October 04 Public (Class ) Technical Information Series

More information

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS ABSTRACT Title: COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS Bryan J. Orf, Masters of Science, 2006 Directed By: Professor Gottlieb S. Oehrlein, Department of Material Science and

More information

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device 1 EX/P4-8 Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device T. Hino 1,2), T. Hirata 1), N. Ashikawa 2), S. Masuzaki 2), Y. Yamauchi

More information

65 th GEC, October 22-26, 2012

65 th GEC, October 22-26, 2012 65 th GEC, October 22-26, 2012 2D Fluid/Analytical Simulation of Multi-Frequency Capacitively-Coupled Plasma Reactors (CCPs) E. Kawamura, M.A. Lieberman, D.B. Graves and A.J. Lichtenberg A fast 2D hybrid

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching Evaluating the Performance of c- 4 8, c- 5 8, and 4 6 for ritical Dimension Dielectric Etching B. Ji, P. R. Badowski, S. A, Motika, and E. J. Karwacki, Jr. Introduction: One of the many challenges I manufacturing

More information

Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma

Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma R. L. Bruce, a T. Lin, R. J. Phaneuf, and G. S. Oehrlein b Department of Material Science and Engineering and

More information

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges 63 rd GEC & 7 th ICRP, 2010 2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges E. Kawamura, M.A. Lieberman, and D.B. Graves University of California, Berkeley, CA 94720 This work

More information

Design of a new family of catalytic support based on thiol containing plasma polymer films

Design of a new family of catalytic support based on thiol containing plasma polymer films Design of a new family of catalytic support based on thiol containing plasma polymer films Dr. D. Thiry damien.thiry@umons.ac.be Chimie des Interactions Plasma Surface (ChIPS), CIRMAP, University of Mons,

More information

Hydrogenation of Single Walled Carbon Nanotubes

Hydrogenation of Single Walled Carbon Nanotubes Hydrogenation of Single Walled Carbon Nanotubes Anders Nilsson Stanford Synchrotron Radiation Laboratory (SSRL) and Stockholm University Coworkers and Ackowledgement A. Nikitin 1), H. Ogasawara 1), D.

More information

NanoEngineering of Hybrid Carbon Nanotube Metal Composite Materials for Hydrogen Storage Anders Nilsson

NanoEngineering of Hybrid Carbon Nanotube Metal Composite Materials for Hydrogen Storage Anders Nilsson NanoEngineering of Hybrid Carbon Nanotube Metal Composite Materials for Hydrogen Storage Anders Nilsson Stanford Synchrotron Radiation Laboratory (SSRL) and Stockholm University Coworkers and Ackowledgement

More information

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Xi Li, a) Li Ling, Xuefeng Hua, Masanaga Fukasawa, b) and Gottlieb S. Oehrlein c) Department of Materials Science and Engineering

More information

Effect of the Surface Temperature on Net Carbon Deposition and Deuterium Co-deposition in the DIII-D Divertor

Effect of the Surface Temperature on Net Carbon Deposition and Deuterium Co-deposition in the DIII-D Divertor Effect of the Surface Temperature on Net Carbon Deposition and Deuterium Co-deposition in the DIII-D Divertor Presented by Dmitry Rudakov (UCSD) for the DiMES Team and Collaborators Presented at the 47th

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Carbon Nanotubes: Development of Nanomaterials for Hydrogen Storage

Carbon Nanotubes: Development of Nanomaterials for Hydrogen Storage Carbon Nanotubes: Development of Nanomaterials for Hydrogen Storage Hongjie Dai Department of Chemistry & Laboratory for Advanced Materials Stanford University GCEP, September 19, 2006 Outline Can carbon

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

NSTX Plasma-Material Interface (PMI) Probe and supporting experiments

NSTX Plasma-Material Interface (PMI) Probe and supporting experiments NSTX Plasma-Material Interface (PMI) Probe and supporting experiments J.P. Allain 1,2, C.N. Taylor 1, B. Heim 1,3 PPPL Collaborators: C.H. Skinner, H.W. Kugel, R. Kaita, A.L. Roquemore 1 Purdue University,

More information

Sensors and Metrology

Sensors and Metrology Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler Energetic particles and their detection in situ (particle detectors) Part II George Gloeckler University of Michigan, Ann Arbor, MI University of Maryland, College Park, MD Simple particle detectors Gas-filled

More information

UC Berkeley UC Berkeley Electronic Theses and Dissertations

UC Berkeley UC Berkeley Electronic Theses and Dissertations UC Berkeley UC Berkeley Electronic Theses and Dissertations Title Plasma Diagnostics and Plasma-Surface Interactions in Inductively Coupled Plasmas Permalink https://escholarship.org/uc/item/0hn5z4f1 Author

More information

Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes

Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes e -? 2 nd FEBIP Workshop Thun, Switzerland 2008 Howard Fairbrother Johns Hopkins University Baltimore, MD, USA Outline

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 5, pp. 581~585 (2010) J O U R N A L O F Ceramic Processing Research The changing behavior of the dielectric constant of a-sic:h films deposited by remote

More information

Metrology challenges in High volume ULK production Ulrich Mayer, Michael Hecker, Holm Geisler

Metrology challenges in High volume ULK production Ulrich Mayer, Michael Hecker, Holm Geisler Metrology challenges in High volume ULK production 20.10.10 Ulrich Mayer, Michael Hecker, Holm Geisler outline ILD material choice in GLBALFUNDRIES New ULK processes and parameters Mechanical frontiers

More information

Processing and Characterization of PMSSQ Based Materials for Nanoporous Low-K Dielectrics

Processing and Characterization of PMSSQ Based Materials for Nanoporous Low-K Dielectrics Processing and Characterization of PMSSQ Based Materials for Nanoporous Low-K Dielectrics P. Lazzeri 1, L. Vanzetti 1, M. Bersani 1, M. Anderle 1, J.J. Park 2, Z. Lin 2,, R.M. Briber 2, G.W. Rubloff 2,

More information

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.5277/oa13114 Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra WALDEMAR OLESZKIEWICZ 1*,

More information

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a Solid State Phenomena Vols. 14-146 (29) pp 249-22 Online available since 29/Jan/6 at www.scientific.net (29) Trans Tech Publications, Switzerland doi:.428/www.scientific.net/ssp.14-146.249 Evaluation of

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

Molecular Dynamics & Adaptive Kinetic Monte Carlo Growth Study of Hydrocarbon Flakes

Molecular Dynamics & Adaptive Kinetic Monte Carlo Growth Study of Hydrocarbon Flakes Molecular Dynamics & Adaptive Kinetic Monte Carlo Growth Study of Hydrocarbon Flakes Amit R. Sharma Department of Physics Wright State University Dayton, Ohio, USA amit.sharma@wright.edu Hydrocarbon co-deposits

More information

Work hard. Be nice. Name: Period: Date: UNIT 6: Organic Chemistry Lesson 5: Organic Reactions

Work hard. Be nice. Name: Period: Date: UNIT 6: Organic Chemistry Lesson 5: Organic Reactions Name: Period: Date: UNIT 6: Organic Chemistry Lesson 5: Organic Reactions By the end of today, you will have an answer to: What are the different organic reactions? Do Now: Circle the functional group

More information

ABSTRACT. microelectronics and leads to the demand for porous ultralow dielectric

ABSTRACT. microelectronics and leads to the demand for porous ultralow dielectric ABSTRACT Title of Document: RATIONAL DESIGN OF NON-DAMAGING CAPACITIVELY COUPLED PLASMA ETCHING AND PHOTORESIST STRIPPING PROCESSES FOR ULTRALOW K DIELECTRIC MATERIALS Ming-Shu Kuo, Doctor of Philosophy,

More information

Supporting Information. Electrochemical Vapor Deposition (E-CVD) of Semiconductors from Gas. Phase with a Solid Membrane Cell

Supporting Information. Electrochemical Vapor Deposition (E-CVD) of Semiconductors from Gas. Phase with a Solid Membrane Cell Supporting Information Electrochemical Vapor Deposition (E-CVD) of Semiconductors from Gas Phase with a Solid Membrane Cell Sung Ki Cho 1, Fu-Ren F. Fan, and Allen J. Bard * Center for Electrochemistry,

More information

Deuterium and fluorine radical reaction kinetics on photoresist*

Deuterium and fluorine radical reaction kinetics on photoresist* Deuterium and fluorine radical reaction kinetics on photoresist* Frank Greer, J. W. Coburn, and David B. Graves a) Department of Chemical Engineering, University of California, Berkeley, California 94720

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics A comparison of the defects introduced during plasma exposure in high- and low-k dielectrics H. Ren, 1 G. Jiang, 2 G. A. Antonelli, 2 Y. Nishi, 3 and J.L. Shohet 1 1 Plasma Processing & Technology Laboratory

More information

Chemical Sputtering of Carbon Materials due to Combined Bombardment by Ions and Atomic Hydrogen

Chemical Sputtering of Carbon Materials due to Combined Bombardment by Ions and Atomic Hydrogen Chemical Sputtering of Carbon Materials due to Combined Bombardment by Ions and Atomic Hydrogen W. Jacob, C. Hopf, and M. Schlüter Max-Planck-Institut für Plasmaphysik, EURATOM Association, Boltzmannstr.

More information

Supplementary Figures

Supplementary Figures Supplementary Figures Supplementary Figure 1. AFM profiles of the charge transport and perovskite layers. AFM Image showing the thickness (y axis) of the layer with respect to the horizontal position of

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Applications of Micro-Area Analysis Used by JPS-9200 X-ray Photoelectron Spectrometer

Applications of Micro-Area Analysis Used by JPS-9200 X-ray Photoelectron Spectrometer Applications of Micro-Area Analysis Used by JPS-9200 X-ray Photoelectron Spectrometer Yoshitoki Iijima Application & Research Center, JEOL Ltd. Introduction Recently, with advances in the development of

More information

MWP MODELING AND SIMULATION OF ELECTROMAGNETIC EFFECTS IN CAPACITIVE DISCHARGES

MWP MODELING AND SIMULATION OF ELECTROMAGNETIC EFFECTS IN CAPACITIVE DISCHARGES MWP 1.9 MODELING AND SIMULATION OF ELECTROMAGNETIC EFFECTS IN CAPACITIVE DISCHARGES Insook Lee, D.B. Graves, and M.A. Lieberman University of California Berkeley, CA 9472 LiebermanGEC7 1 STANDING WAVES

More information

Resist-outgas testing and EUV optics contamination at NIST

Resist-outgas testing and EUV optics contamination at NIST 1 2012 International Workshop on EUVL, Maui, HI Resist-outgas testing and EUV optics contamination at NIST Shannon Hill, Nadir Faradzhev, Charles Tarrio, Steve Grantham, Lee Richter and Tom Lucatorto National

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Dust collected in MAST and in Tore Supra. Nanoparticle growth in laboratory plasmas

Dust collected in MAST and in Tore Supra. Nanoparticle growth in laboratory plasmas FDR-FM Association EURATOM-EA Dust collected in MAST and in Tore Supra. Pardanaud 1,. Martin 1, P. Roubin 1,. Arnas 1 and G. De Temmerman 2 1 Lab. PIIM, NRS-Université de Provence, UMR 6633, 13397 Marseille,

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

PLASMA-POLYMER MODIFICATION OF BASAL PLANE GRAPHITE SURFACES FOR IMPROVED BIOCOMPATIBILITY

PLASMA-POLYMER MODIFICATION OF BASAL PLANE GRAPHITE SURFACES FOR IMPROVED BIOCOMPATIBILITY PLASMA-POLYMER MODIFICATION OF BASAL PLANE GRAPHITE SURFACES FOR IMPROVED BIOCOMPATIBILITY Anca Orăşanu, Marcus R. Davidson, Robert H. Bradley Advanced Materials & Biomaterials Research Centre, School

More information

OPTO-ELECTRONIC MODELLING OF THIN FILM NANOCRYSTALLINE SILICON SOLAR CELLS

OPTO-ELECTRONIC MODELLING OF THIN FILM NANOCRYSTALLINE SILICON SOLAR CELLS Journal of Ovonic Research Vol. 8, No. 4, July - August 2012, p. 81-90 OPTO-ELECTRONIC MODELLING OF THIN FILM NANOCRYSTALLINE SILICON SOLAR CELLS S.N. AGBO a, P.E. UGWUOKE a, F.I. EZEMA b a National Centre

More information

Silicon etching in NF 3 /O 2 remote microwave plasmas

Silicon etching in NF 3 /O 2 remote microwave plasmas Silicon etching in NF 3 /O 2 remote microwave plasmas P. J. Matsuo, a) B. E. E. Kastenmeier, and G. S. Oehrlein b) Department of Physics, University at Albany, State University of New York, Albany, New

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

Thin and Ultrathin Plasma Polymer Films and Their Characterization

Thin and Ultrathin Plasma Polymer Films and Their Characterization WDS'13 Proceedings of Contributed Papers, Part III, 134 138, 2013. ISBN 978-80-7378-252-8 MATFYZPRESS Thin and Ultrathin Plasma Polymer Films and Their Characterization M. Petr, O. Kylián, J. Hanuš, A.

More information

Surface treatment of metals using an atmospheric pressure plasma jet and their surface characteristics

Surface treatment of metals using an atmospheric pressure plasma jet and their surface characteristics Surface and Coatings Technology 174 175 (2003) 839 844 Surface treatment of metals using an atmospheric pressure plasma jet and their surface characteristics M.C. Kim, S.H. Yang *, J.-H. Boo, J.G. Han

More information

SiO x structural modifications by ion bombardment and their influence on electrical properties

SiO x structural modifications by ion bombardment and their influence on electrical properties JOURNAL OF OPTOELECTRONICS AND ADVANCED MATERIALS Vol. 8, No., December 2, p. 23-21 SiO x structural modifications by ion bombardment and their influence on electrical properties A. MILELLA, M. CREATORE,

More information

Supporting Information. Effects of Environmental Water Absorption by. Film Transistor Performance and Mobility

Supporting Information. Effects of Environmental Water Absorption by. Film Transistor Performance and Mobility Supporting Information Effects of Environmental Water Absorption by Solution-Deposited Al 2 O 3 Gate Dielectrics on Thin Film Transistor Performance and Mobility Trey B. Daunis, James M. H. Tran, and Julia

More information

Infrared Charge-Modulation Spectroscopy of Defects in Phosphorus Doped Amorphous Silicon

Infrared Charge-Modulation Spectroscopy of Defects in Phosphorus Doped Amorphous Silicon Syracuse University SURFACE Physics College of Arts and Sciences 22 Infrared Charge-Modulation Spectroscopy of Defects in Phosphorus Doped Amorphous Silicon Kai Zhu Syracuse University Eric A. Schiff Syracuse

More information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Supplementary Information Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction Neil P. Dasgupta 1 ǂ, Chong Liu 1,2 ǂ, Sean Andrews 1,2, Fritz B. Prinz

More information

Exploration COMSOL in Modeling RLSA TM CVD Processes

Exploration COMSOL in Modeling RLSA TM CVD Processes Exploration COMSOL in Modeling RLSA TM CVD Processes Ar+H 2 +SiH 4 +C 2 H 6 and Dopant Gas Jozef Brcka 1 *, Sundar Gandhi 2, Raymond Joe 2 1 Tokyo Electron U.S. Holdings, Inc., U.S. Technology Development

More information

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015 Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance February 22, 2015 1 Participation / Contacts Exogenesis Corporation, ANAB Technology Sean Kirkpatrick, Son

More information

Diffuse Reflectance Infrared Fourier Transform Spectroscopy (DRIFTS) for In-Situ Analysis of Solid Oxide Electrolysis Cells

Diffuse Reflectance Infrared Fourier Transform Spectroscopy (DRIFTS) for In-Situ Analysis of Solid Oxide Electrolysis Cells Diffuse Reflectance Infrared Fourier Transform Spectroscopy (DRIFTS) for In-Situ Analysis of Solid Oxide Electrolysis s Denis Cumming Christopher Tumilson, Dr Rebecca Taylor, Dr Rachael Elder, Prof Chris

More information

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry Alexey Kovalgin Chair of Semiconductor Components MESA+ Institute for Nanotechnology Motivation Advantages of in-situ over

More information

Opto-electronic Characterization of Perovskite Thin Films & Solar Cells

Opto-electronic Characterization of Perovskite Thin Films & Solar Cells Opto-electronic Characterization of Perovskite Thin Films & Solar Cells Arman Mahboubi Soufiani Supervisors: Prof. Martin Green Prof. Gavin Conibeer Dr. Anita Ho-Baillie Dr. Murad Tayebjee 22 nd June 2017

More information

ALD & ALE Tutorial Speakers and Schedule

ALD & ALE Tutorial Speakers and Schedule ALD & ALE Tutorial Speakers and Schedule Sunday, July 29, 2018 1:00-1:05 Tutorial Welcome 1:05-1:50 1:50-2:35 2:35-3:20 Challenges of ALD Applications in Memory Semiconductor Devices, Choon Hwan Kim (SK

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Investigation of tungsten incorporated amorphous carbon lm

Investigation of tungsten incorporated amorphous carbon lm Thin Solid Films 355±356 (1999) 174±178 www.elsevier.com/locate/tsf Investigation of tungsten incorporated amorphous carbon lm Rusli a, *, S.F. Yoon a, H. Yang a, J. Ahn a, Q.F. Huang a, Q. Zhang a, Y.P.

More information

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process A. Singhal, L. Henn-Lecordier and J. N. Kidder Jr. University of Maryland, College Park, MD C.A. Gogol, J.F. Kushneir Inficon, Inc. East

More information

Semiconductors. SEM and EDAX images of an integrated circuit. SEM EDAX: Si EDAX: Al. Institut für Werkstoffe der ElektrotechnikIWE

Semiconductors. SEM and EDAX images of an integrated circuit. SEM EDAX: Si EDAX: Al. Institut für Werkstoffe der ElektrotechnikIWE SEM and EDAX images of an integrated circuit SEM EDAX: Si EDAX: Al source: [Cal 99 / 605] M&D-.PPT, slide: 1, 12.02.02 Classification semiconductors electronic semiconductors mixed conductors ionic conductors

More information

Supplementary Figure 1. Cross-section SEM image of the polymer scaffold perovskite film using MAI:PbI 2 =1:1 in DMF solvent on the FTO/glass

Supplementary Figure 1. Cross-section SEM image of the polymer scaffold perovskite film using MAI:PbI 2 =1:1 in DMF solvent on the FTO/glass Supplementary Figure 1. Cross-section SEM image of the polymer scaffold perovskite film using MAI:PbI 2 =1:1 in DMF solvent on the FTO/glass substrate. Scale bar: 1 m. Supplementary Figure 2. Contact angle

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

Low Temperature Plasma Physics

Low Temperature Plasma Physics Rainer Hippler, Sigismund Pfau, Martin Schmidt, Karl H. Schoenbach (Eds.) Low Temperature Plasma Physics Fundamental Aspects and Applications WILEY-VCH Berlin Weinheim New York Chichester Brisbane Singapore

More information

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960 Introduction to X-ray Photoelectron Spectroscopy (XPS) X-ray Photoelectron Spectroscopy (XPS), also known as Electron Spectroscopy for Chemical Analysis (ESCA) is a widely used technique to investigate

More information

Infrared characterization of a-si:h/a-si1-xc x:h interfaces

Infrared characterization of a-si:h/a-si1-xc x:h interfaces Infrared characterization of a-si:h/a-si1-xc x:h interfaces J. Bertomeu, J. Puigdollers, J.M. Asensi and J. Andreu. Laboratori de Física de Capes fines (LCFC), Departament de Física Aplicada i Electrònica,

More information

Chapter 7. Solar Cell

Chapter 7. Solar Cell Chapter 7 Solar Cell 7.0 Introduction Solar cells are useful for both space and terrestrial application. Solar cells furnish the long duration power supply for satellites. It converts sunlight directly

More information

1. INTRODUCTION 2. EXPERIMENTAL SET-UP CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES

1. INTRODUCTION 2. EXPERIMENTAL SET-UP CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES Romanian Reports in Physics, Vol. 57, No. 3, P. 390-395, 2005 CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES C. PETCU, B. MITU, G. DINESCU National Institute for Lasers,

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

IR LASER-INDUCED CARBOTHERMAL REDUCTION OF TITANIUM MONOXIDE: CARBON- PHASE SHIELD TO NANOSIZED TiO OXIDATION

IR LASER-INDUCED CARBOTHERMAL REDUCTION OF TITANIUM MONOXIDE: CARBON- PHASE SHIELD TO NANOSIZED TiO OXIDATION IR LASER-INDUCED CARBOTHERMAL REDUCTION OF TITANIUM MONOXIDE: CARBON- PHASE SHIELD TO NANOSIZED TiO OXIDATION Věra JANDOVÁ a, Zdeněk BASTL b, Jan ŠUBRT c, Josef POLA a a Institute of Chemical Process Fundamentals,

More information

Plasma-polymer interactions: A review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabrication

Plasma-polymer interactions: A review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabrication REVIEW ARTICLE Plasma-polymer interactions: A review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabrication Gottlieb S. Oehrlein a Department of Material

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) The effect of ion-surface and ion-bulk interactions during hydrogenated amorphous silicon deposition Smets, A.H.M.; Kessels, W.M.M.; van de Sanden, M.C.M. Published in: Journal of Applied Physics DOI:

More information

Helium effects on Tungsten surface morphology and Deuterium retention

Helium effects on Tungsten surface morphology and Deuterium retention 1 Helium effects on Tungsten surface morphology and Deuterium retention Y. Ueda, H.Y. Peng, H. T. Lee (Osaka University) N. Ohno, S. Kajita (Nagoya University) N. Yoshida (Kyushu University) R. Doerner

More information

A comparison of emissive probe techniques for electric potential measurements in a Hall thruster plasma

A comparison of emissive probe techniques for electric potential measurements in a Hall thruster plasma A comparison of emissive probe techniques for electric potential measurements in a Hall thruster plasma J. P. Sheehan*, Y. Raitses**, N. Hershkowitz*, I. Kaganovich**, and N. J. Fisch** *University of

More information

Modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans

Modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans Modification of thin films and nanoparticles Johannes Berndt, GREMI,Orléans Low temperature plasmas not fully ionized Ionization degree 10-6 10-4 far away from thermodynamic equlilibrium T electron >>

More information

Microstructural characterization of plasma-deposited SiO2-like thin films a detailed study by means of ellipsometric porosimetry

Microstructural characterization of plasma-deposited SiO2-like thin films a detailed study by means of ellipsometric porosimetry Eindhoven University of Technology MASTER Microstructural characterization of plasma-deposited SiO2-like thin films a detailed study by means of ellipsometric porosimetry Terlinden, N.M. Award date: 2008

More information

A Report On DESIGN OF NEUTRON SOURCES AND INVESTIGATION OF NEUTRON BASED TECHNIQUES FOR THE DETECTION OF EXPLOSIVE MATERIALS

A Report On DESIGN OF NEUTRON SOURCES AND INVESTIGATION OF NEUTRON BASED TECHNIQUES FOR THE DETECTION OF EXPLOSIVE MATERIALS A Report On DESIGN OF NEUTRON SOURCES AND INVESTIGATION OF NEUTRON BASED TECHNIQUES FOR THE DETECTION OF EXPLOSIVE MATERIALS Name of contact person: Surender Kumar Sharma Name of other contributors: R.

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

In-vessel Tritium Inventory in ITER Evaluated by Deuterium Retention of Carbon Dust

In-vessel Tritium Inventory in ITER Evaluated by Deuterium Retention of Carbon Dust FT/P1-19 In-vessel Tritium Inventory in ITER Evaluated by Deuterium Retention of Carbon Dust T. Hino 1), H. Yoshida 1), M. Akiba 2), S. Suzuki 2), Y. Hirohata 1) and Y. Yamauchi 1) 1) Laboratory of Plasma

More information

Comparisons of DFT-MD, TB- MD and classical MD calculations of radiation damage and plasmawallinteractions

Comparisons of DFT-MD, TB- MD and classical MD calculations of radiation damage and plasmawallinteractions CMS Comparisons of DFT-MD, TB- MD and classical MD calculations of radiation damage and plasmawallinteractions Kai Nordlund Department of Physics and Helsinki Institute of Physics University of Helsinki,

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

HIGH ENERGY DENSITY CAPACITOR CHARACTERIZATION

HIGH ENERGY DENSITY CAPACITOR CHARACTERIZATION GENERAL ATOMICS ENERGY PRODUCTS Engineering Bulletin HIGH ENERGY DENSITY CAPACITOR CHARACTERIZATION Joel Ennis, Xiao Hui Yang, Fred MacDougall, Ken Seal General Atomics Energy Products General Atomics

More information