Linas Bukauskas MIF Vilniaus Universitetas 2007

Size: px
Start display at page:

Download "Linas Bukauskas MIF Vilniaus Universitetas 2007"

Transcription

1 U n i x O S Linas Bukauskas MIF Vilniaus Universitetas 2007

2 Į / I ( a n g l. I / O ) p o s i s t e m ė Sluoksniai nuo geležies iki vartotojo Blokiniai įrenginiai Simboliniai įrenginiai Descriptori ų valdymas Virtualios Rinkmen ų sistemos sąsaja Nuo Rinkmen ų sistemos nepriklausomos paslaugos 2

3 Į / I S l u o k s n i a i Kam to reikia: Paslepia detalum ą nuo vartotojo Parūpina aukštesnio lygio abstrakciją Įgalina modulišk ą OS projektavimą 3

4 4

5 Į / I t i p a i Išskiriami 4 Į/I tipai: Rinkmen ų sistema (angl. filesystem) Blokiniai įrenginiai struktūriška prieiga Simboliniai įrenginiai (angl. character devices) Nestruktūriška prieiga prieigos įrenginiai (angl. socket) tinklo įrenginiai ne rinkmen ų sistemoje 5

6 B l o k i n i a i į r e n g i n i a i Atlieka operacijas dideliais duomen ų blokais. Naudoja buferizuot ą podėl į (angl. buffered cache) sumažina Į/I operacij ų skaičių atlieka sinchronizacij ą su rinkmen ų sistema to paties įrenginio ribose. Visos Į/I atliekamos nuo buferi ų, kurie reziduoja branduolio tvarkomoje atmintyje 6

7 B l o k i n i a i į r e n g i n i a i I I Blokiniai įrenginiai yra įvairi ų tip ų diskai ir juostos Įrenginio paskirtis: Konvertuoti vartotojo pateikt ą duomen ų masyv ą į fiziniame lygyje reikalaujam ą struktūrą Net jei vartotojas įrašo vien ą bait ą Blokiniai įrenginiai operuoja dideliais duomen ų masyvais 7

8 B l o k i n i a i į r e n g i n i a i I I I Sistema visada atlieka duomen ų sektoriaus nuskaitym ą turint į keičiamus duomenis keičiam ų duomen ų atnaujinimą įrašym ą viso bloko atgal į disk ą. Tokio tipo operacijos vadinamos atsitiktinio priėjimo (angl. random-access) opracijomis 8

9 B l o k i n i a i į r e n g i n i a i I V Prie blokini ų įrengini ų prieinama tiesiogiai naudojantis specialia rinkmena esančia /dev/ kataloge netiesiogiai naudojantis rinkmen ų sistemos sąsaja 9

10 B l o k i n i a i į r e n g i n i a i V Procesai gali skaityti mažiau nei blokas pirm ą kart ą skaitant maž ą duomen ų kiek į visas blokas padedamas į tarpin į buferį perkeliant iš disko į branduolio atmintį vėlesni skaitymai kreipiasi į bufer į ir iš jo nuskaito duomenis jei pataiko į didelio bloko rėžius Rašymas ekvivalentu tik v liau reikia iš branduolio buferio rašyti ė į į diksą 10

11 B l o k i n i a i į r e n g i n i a i V I Podėlio buferio buvimas sumažina Į/I operacijas. Nereikia skaityti / rašyti iš disko kiekvien ą kartą Buferis sukelia problem ų: Nulūžus kompiuteriui (pvz., dingo elektra) dingsta visi podėlyje esantys pakeitimai, kurie dar nebuvo įrašyti. Sprendimas: periodiškai sinchronizuoti buferį 11

12 B l o k i n i a i į r e n g i n i a i V I I sinchronizacijai yra dvi galimybės: sync priverstinis buferyje esam ų duomen ų įrašymas (paprastai atliekamas kas 30s) fsync kviečiamas iš proceso, kad priversti atidarytos rinkmenos nešvarius buferius įrašyti nedelsiant (paprastai naudojama duomen ų bazėse) 12

13 S ą v e i k o s t a š k a i ( a n g l. e n t r y p o i n t s ) Blokiniai įrenginiai yra aprašomi bdevsw struktūromis open() Atidaryti ir paruošti įrengin į Į/I operacijoms. Pvz., atidarymo momentu bus tikrinama ar įrenginys buvo nustatytas teisingai ir ar yra įdėta media į kuri ą galima rašyti. 13

14 S ą v e i k o s t a š k a i ( a n g l. e n t r y p o i n t s ) strategy() - pradėti skaitymo ar rašymo operacijas ir grįžti. Į/I prašoma rinkmen ų sistemos arba sisteminės sąsajos bread(). bwrite(). Kiekvienas kvietimas į strategy turi pateikti nuorod ą į buf struktūr ą. Jei užklausimas yra sinchroninis tai reikia palaukti, kol Į/I operacijos bus baigtos. 14

15 S ą v e i k o s t a š k a i ( a n g l. e n t r y p o i n t s ) close() - uždaryti įrengin į. Patys įrenginiai nedaro nieko tačiau tai reikalinga aukštesnio lygio sąsajose. Įrenginiai, kurie įgalina priėjim ą tik vienam vartotojui pažymi, kad įrenginys jau vėl prieinamas visiems. Jei uždaroma juostiniai įrenginiai, kurie buvo atidaryti rašymui, įrašoma EOF žym ė, ir persukama juosta 15

16 S ą v e i k o s t a š k a i ( a n g l. e n t r y p o i n t s ) dump() - įrašyti fizinėje atmintyje esančius duomenis į antrinės atminties įrengin į. pvz., atsitikus nepataisomai klaidai išsaugojama atmintis pomirtinei analizei psize() - grąžinti antrinės atminties dyd į DEB_BSIZE blok ų skaičiumi. 16

17 Į / I u ž k l a u s ų r ū š i a v i m a s Branduolys suteikia disksort() metod ą, kuris gali būti panaudotas vis ų blokini ų įrengini ų. Tai algoritmas lifto rūšiavimo (angl. elevator sorting) asocijuojama su liftu ir yra poreikis aptarnauti visus užklausimus ta pačia kryptimi 17

18 Į / I u ž k l a u s ų r ū š i a v i m a s Rūšiavimo metodas rūšiuoja užklausas į disk ą cikliškai, didėjančio cilindro numerio tvarka, taip kad užklausas būt ų galima aptarnauti su mažiausiu skaičiumi vienakrypči ų skanavim ų. 18

19 Į / I u ž k l a u s ų r ū š i a v i m a s disksort(*dq, *bp) { //drive queue *dq; buffer *bp; if (drive queue is empty) { place the buffer at the front of the drive queue; return; } if (request lies before the first active request) { locate the beginning of the second request list; sort bp into the second request list; } else sort bp into the current request list; } 19

20 S i m b o l i n i a i į r e n g i n i a i Visi kiti įrenginiai, kurie nėra tinklo ar blokiniai yra simboliniai Pvz., terminale gali būti išvedamas po eilut ę /dev/tty Simboliniai įrenginiai išveda ir įveda nestruktūriškai duomenis. pvz., linijinis spausdintuvas /dev/lp0 ar begalinis /dev/null 20

21 S i m b o l i n i a i į r e n g i n i a i Išveda/ įveda tiesiogiai nenaudojant didelio buferio kaip blokiniuiose įrenginiuose. tačiau dauguma simbolini ų įrengini ų buferizuoja iki 64bit ų arba eilutės galo didelio greičio grafinis įrenginys gali turėti savo bufer į arba leisti tiesiogiai rašyti į įrenginio adres ų erdvę 21

22 S i m b o l i n i a i į r e n g i n i a i Pirmin ė užduotis: parūpinti nestruktūrin į įvedim ą/išvedim ą į/iš įrenginio. parūpinti tiesiogin į Į/I asinchroniškai Prašymai nuskaityti ar įrašyti perduodami, kaip pagrindinės atminties adresas su kryptimi (R/W) 22

23 S i m b o l i n i a i į r e n g i n i a i Dažnai, simboliniai įrenginiai naudojami tik labai gerai žinant fizinio lygio struktūr ą. Simboliniai įrenginiai aprašomi struktūrose cdevsw 23

24 S ą v e i k o s t a š k a s open() - atidarom įrenginį close() - uždarome įrenginį ioctl() - atliekama Į/I kontrolė mmap() - primontuoti įrengin į prie atminties adreso read()/write() - skaityti/rašyti į įrenginį reset() - inicializuoti iš naujo įrenginį select()/stop() - tikrinti įr. pasiruošim ą ir stabdyti išvedimą 24

25 D e s k r i p t o r i ų v a l d y m a s Priėjimas prie rinkmen ų vartotojas gali per deskriptorius Deskriptoriai gali būti (pakartosim): rinkmenos konvejeriai (pipe) lizdai (sockets) Rinkmenos deskriptoriai naudojami branduolyje indeksuoti deskriptori ų lentel ę ( struct filedesc) 25

26 D e s k r i p t o r i ų v a l d y m a s Rinkmenos deskriptoriai ir rinkmenos struktūros 26

27 A t i d a r y t ų r i n k m e n ų į r a š a i Rinkmenos įrašas yra objektiškai aprašyta struktūra. Kiekvienas įrašas turi tip ą ir aib ę funkcij ų nuorod ų kurios konvertuoja apibendrintas operacijas ant deskriptori ų į specifiškus veiksmus 27

28 A t i d a r y t ų r i n k m e n ų į r a š a i Operacijos: Skaityti iš deskriptoriaus Rašyti į deskriptorių pasirinkti deskriptorių atlikti ioctl() operacij ą deskriptroiui uždaryti ir dealokuoti deskriptorių Atkreipkime dėmes į: Nėra deskriptoriaus atidarymo operacijos (priežastis, kad skirting ų tip ų deskriptoriai skirtingai atidaromi) 28

29 A t i d a r y t ų r i n k m e n ų į r a š a i Kiekvienas rinkemons įrašas turi nuorod ą į duomen ų struktūr ą kuri turi informacij ą apie konkret ų objekt ą (pvz., rinkmen ą) Nuoroda visada perduodama su kiekviena operacija Visos rinkmenos būsenos yra saugojamos tik toje struktūroje 29

30 A t i d a r y t ų r i n k m e n ų į r a š a i Skaitymas arba rašymas nepriima poslinkio (angl. offset) kaip parametro vietoj to kiekvienas skaitymas ir rašymas atlieka postūm į priklausomai nuo to kiek bait ų nuskaityta. Atlikti postūm į skaitant ir rašant rinkemen ą iškviečiamas lseek(). 30

31 A t i d a r y t ų r i n k m e n ų į r a š a i Problema, kai daugiau nei vienas procesas atidaro t ą pači ą rinkmen ą ir postūmiai gali būti individualūs Sprendimas, kiekvienam proceso atidarymui išskirti atskiras struktūras kur saugojami postūmiai individualiai Išvada, kiekvienam sistemos open() kvietimui yra išskiriama naujas rinkmenos įrašas 31

32 A t i d a r y t ų r i n k m e n ų į r a š a i Kiekviena rinkmena turi priskirt ą skaitliuką fcntl, dup kvietim ų metu iškvietus fork() įrašai kopijuojami keli procesai gali turėti nuorod ą į t ą pači ą rinkemeną Kiekvien ą kart ą, kai naujas įrašas tam pačiam objektui sukurtas skaitliukas padidinamas Uždarant su close() mažinamas 32

33 A t i d a r y t ų r i n k m e n ų į r a š a i Kai skaitliukas pasiekia nul į rinkemons įrašas yra ištrinamas iš lentelės 33

34 D e s k r i p t o r i ų v a l d y m a s fcntl() sisteminis kvietimas dažnai manipuliuoja rinkemenos struktūrą Dubliuoja deskriptori ų pasinaudojant dup() nuskaityti/nustatyti požymius close-on-exec bet koks deskriptorius pažymėtas tokiu požymiu uždaromas, kai naujas vaikinis procesas kuriamas Nustatyti deskriptrius į neblokuojam ą režimą t.y. jei yra informacijos nuskaityti arba yra vietos įrašyti tai atliekama iškart priešingu atveju grąžinama klaida. 34

35 D e s k r i p t o r i ų v a l d y m a s Priversti visus rašymus priklijuoti prie rinkmenos pabaigos pasiųsti signal ą procesui kai yra įmanoma Į/I (angl. I/O) operacija siųsti signal ą procesui kai nenumatytas atvejis iškyla (ypatingos skubos duomenys atvyksta tarp-procesiniuose kanaluose Nustatyti/nuskaityti proceso grup ę kuriai Į/I operacijos yra priskiriamos Patikrinti/keisti rinkmenos rakinimo būseną 35

36 D e s k r i p t o r i a i i r Į / I p o s i s t e m ė 36

37 L o k a l i r i n k m e n ų s i s t e m a Hierachinis medis: 37

38 L o k a l i r i n k m e n ų s i s t e m a Katalogas 38

39 i - n o d e s t r u k t ū r a v i z u a l i a i 39

40 40

Užklausų kompiliavimas

Užklausų kompiliavimas Užklausų kompiliavimas (Query Compiler) paruošė Margarita Kazakevičiūtė 1 SQL query Parse query, Preprocessor => Query expression tree Select logical query plan => Logical query plan tree Select physical

More information

Struktūrinė geologija

Struktūrinė geologija Pirmadienį pirmą pusdienį Struktūrinė geologija Audrius Čečys audrius.cecys@gf.vu.lt / audrius.cecys@gmail.com + 370 686 96 480 http://web.vu.lt/gf/a.cecys ir Dropbox Struktūrinė geologija yra mokslas

More information

VIEŠŲJŲ PASLAUGŲ PERKöLIMO IŠ TRADICINöS Į ELEKTRONINĘ TERPĘ BRANDOS LYGIO VERTINIMAS

VIEŠŲJŲ PASLAUGŲ PERKöLIMO IŠ TRADICINöS Į ELEKTRONINĘ TERPĘ BRANDOS LYGIO VERTINIMAS VIEŠŲJŲ PASLAUGŲ PERKöLIMO IŠ TRADICINöS Į ELEKTRONINĘ TERPĘ BRANDOS LYGIO VERTINIMAS Egidijus Ostašius Vilniaus Gedimino technikos universitetas Saul tekio al. 11, LT-10223, Vilnius EgidijusOstasius@gama.vtu.lt

More information

Vango algoritmo analizė

Vango algoritmo analizė VILNIAUS GEDIMINO TECHNIKOS UNIVERSITETAS 2017 m. balandžio 18 d. Problemos formulavimas Nagrinėkime lygtį u t = i 2 u, t [0, T ], x Ω x 2 u t=0 = u 0 (x). (1) Problema Realybėje Ω (, ), kas verčia įvesti

More information

CALCULATION OF ELECTROMAGNETIC WAVE ATTENUATION DUE TO RAIN USING RAINFALL DATA OF LONG AND SHORT DURATION

CALCULATION OF ELECTROMAGNETIC WAVE ATTENUATION DUE TO RAIN USING RAINFALL DATA OF LONG AND SHORT DURATION Lithuanian Journal of Physics, Vol. 47, No. 2, pp. 163 168 (2007) CALCULATION OF ELECTROMAGNETIC WAVE ATTENUATION DUE TO RAIN USING RAINFALL DATA OF LONG AND SHORT DURATION S. Tamošiūnas a,b, M. Tamošiūnienė

More information

GSM modulis G7. (v.1.26) Įrengimo instrukcija. Draugyst s g. 17, LT Kaunas El. p.:

GSM modulis G7. (v.1.26) Įrengimo instrukcija. Draugyst s g. 17, LT Kaunas El. p.: GSM modulis G7 (v.1.26) Įrengimo instrukcija Draugyst s g. 17, LT-51229 Kaunas El. p.: info@trikdis.lt www.trikdis.lt TURINYS GSM modulis G7 3 Veikimas 3 Techniniai parametrai 3 Komplektacija 3 Modulio

More information

One Digital Signature Scheme in Semimodule over Semiring

One Digital Signature Scheme in Semimodule over Semiring INFORMATICA, 2005, Vol. 16, No. 3, 383 394 383 2005 Institute of Mathematics and Informatics, Vilnius One Digital Signature Scheme in Semimodule over Semiring Eligijus SAKALAUSKAS Kaunas University of

More information

Duomenų projektavimas

Duomenų projektavimas Programų sistemų analiz Duomenų projektavimas Lina Vasiliauskien Grafinių sistemų katedra Vilniaus Gedimino echnikos Universitetas 2009-2010 Vienas vaizdas vertas daugiau, nei 1024 žodžiai... Duomenų srautų

More information

STABILIZATION OF UNSTABLE PERIODIC ORBIT IN CHAOTIC DUFFING HOLMES OSCILLATOR BY SECOND ORDER RESONANT NEGATIVE FEEDBACK

STABILIZATION OF UNSTABLE PERIODIC ORBIT IN CHAOTIC DUFFING HOLMES OSCILLATOR BY SECOND ORDER RESONANT NEGATIVE FEEDBACK Lithuanian Journal of Physics, Vol. 47, No. 3, pp. 235 239 (2007) STABILIZATION OF UNSTABLE PERIODIC ORBIT IN CHAOTIC DUFFING HOLMES OSCILLATOR BY SECOND ORDER RESONANT NEGATIVE FEEDBACK A. Tamaševičius

More information

Cheminė kinetika: reakcijų mechanizmai

Cheminė kinetika: reakcijų mechanizmai Cheminė kinetika: reakcijų mechanizmai Teoriniai cheminės kinetikos modeliai Susidūrimų teorija Cheminė reakcija įvyksta susidūrus dviems (arba daugiau) dalelėms (molekulėms, atomams, jonams ir t.t.) viename

More information

Turinys. Geometrinės diferencialinių lygčių teorijos savokos. Diferencialinės lygties sprendiniai. Pavyzdžiai. CIt, (- 00,0) C'It, (0, (0);

Turinys. Geometrinės diferencialinių lygčių teorijos savokos. Diferencialinės lygties sprendiniai. Pavyzdžiai. CIt, (- 00,0) C'It, (0, (0); Turinys In this chapter we illustrate the qualitative approach to differential equations and introduce some key ideas such as phase portraits and qualitative equivalence Geometrinės diferencialinių lygčių

More information

Programų sistemų inžinerija

Programų sistemų inžinerija Programų sistemų inžinerija Modulio tikslai, struktūra, vertinimas Lina Vasiliauskienė Grafinių sistemų katedra Vilniaus Gedimino Technikos Universitetas 2010 2011 Kontaktai Dėstytoja Lina Vasiliauskienė

More information

Research of the Grid-Tied Power System Consisting of Wind Turbine and Boiler GALAN

Research of the Grid-Tied Power System Consisting of Wind Turbine and Boiler GALAN ELECTRONICS AND ELECTRICAL ENGINEERING ISSN 392 25 200. No. 0(06) ELEKTRONIKA IR ELEKTROTECHNIKA ELECTRICAL ENGINEERING T 90 ELEKTROS INŽINERIJA Research of the Grid-Tied Power System Consisting of Wind

More information

ETIKA V PROFESII PSYCHOLÓGA

ETIKA V PROFESII PSYCHOLÓGA P r a ž s k á v y s o k á š k o l a p s y c h o s o c i á l n í c h s t u d i í ETIKA V PROFESII PSYCHOLÓGA N a t á l i a S l o b o d n í k o v á v e d ú c i p r á c e : P h D r. M a r t i n S t r o u

More information

PROTEOMIKA. Rūta Navakauskienė. El.paštas:

PROTEOMIKA. Rūta Navakauskienė. El.paštas: PROTEOMIKA Rūta Navakauskienė El.paštas: ruta.navakauskiene@bchi.lt Literatūra Simpson, Richard J. Proteins and proteomics: a laboratory manual. Cold Spring Harbor (N.Y.): Cold Spring Harbor. Laboratory

More information

LIETUVOS ENERGETIKOS STRATEGIJA: OPTIMALIOS RENOVACIJOS MODELIS (ORM) (projektas pastaboms)

LIETUVOS ENERGETIKOS STRATEGIJA: OPTIMALIOS RENOVACIJOS MODELIS (ORM) (projektas pastaboms) Įvadas LIETUVOS ENERGETIKOS STRATEGIJA: OPTIMALIOS RENOVACIJOS MODELIS (ORM) (projekas pasaboms) ORM yra kašų ir naudos analiz s (cos-benefi analysis) aikymas svarbiu masin s daugiabučių renovacijos aveju,

More information

S. Tamošiūnas a,b, M. Žilinskas b,c, A. Nekrošius b, and M. Tamošiūnienė d

S. Tamošiūnas a,b, M. Žilinskas b,c, A. Nekrošius b, and M. Tamošiūnienė d Lithuanian Journal of Physics, Vol. 45, No. 5, pp. 353 357 (2005) CALCULATION OF RADIO SIGNAL ATTENUATION USING LOCAL PRECIPITATION DATA S. Tamošiūnas a,b, M. Žilinskas b,c, A. Nekrošius b, and M. Tamošiūnienė

More information

Algebraic and spectral analysis of local magnetic field intensity

Algebraic and spectral analysis of local magnetic field intensity Lietuvos matematikos rinkinys ISSN 132-2818 Proc. of the Lithuanian Mathematical Society, Ser. A Vol. 6, DOI:.388/LMR.A.. pages 4 9 Algebraic and spectral analysis of local magnetic field intensity Mantas

More information

Prognosis of radionuclides dispersion and radiological measurements in Lithuania after the accident at Fukushima Daiichi nuclear

Prognosis of radionuclides dispersion and radiological measurements in Lithuania after the accident at Fukushima Daiichi nuclear Prognosis of radionuclides dispersion and radiological measurements in Lithuania after the accident at Fukushima Daiichi nuclear power plant Rima Ladygienė, Aušra Urbonienė, Auksė Skripkienė, Laima Pilkytė,

More information

ŠIAULIŲ UNIVERSITETAS MATEMATIKOS IR INFORMATIKOS FAKULTETAS INFORMATIKOS KATEDRA. Remigijus Valčiukas

ŠIAULIŲ UNIVERSITETAS MATEMATIKOS IR INFORMATIKOS FAKULTETAS INFORMATIKOS KATEDRA. Remigijus Valčiukas ŠIAULIŲ UNIVERSITETAS MATEMATIKOS IR INFORMATIKOS FAKULTETAS INFORMATIKOS KATEDRA Remigijus Valčiukas Informatikos specialybės magistrantūros II kurso dieninio skyriaus studentas Internetinė matematinio

More information

Stochastinės analizės egzaminas MIF magistrantūra, FDM I kursas, 2018 m. ruduo (1 semestras), X s db s, t 0.

Stochastinės analizės egzaminas MIF magistrantūra, FDM I kursas, 2018 m. ruduo (1 semestras), X s db s, t 0. Stochastinės analizės egzaminas MIF magistrantūra, FDM I kursas, 218 m. ruduo (1 semestras), 219 1 18 1. Prove the following: Proposition. If X t, t, is an Itô process and f C 3 (IR), then f ( ) ( ) t

More information

Reklamos internete vartotojų segmentavimas taikant latentinį Dirichlė paskirstymo modelį

Reklamos internete vartotojų segmentavimas taikant latentinį Dirichlė paskirstymo modelį Lietuvos matematikos rinkinys ISSN 0132-2818 Lietuvos matematikų draugijos darbai, ser. B www.mii.lt/lmr/ 56 t., 2015, 1 6 Reklamos internete vartotojų segmentavimas taikant latentinį Dirichlė paskirstymo

More information

SMULKAUS IR VIDUTINIO DYDŽIO ĮMONIŲ VIDAUS VALDYMO SISTEMA

SMULKAUS IR VIDUTINIO DYDŽIO ĮMONIŲ VIDAUS VALDYMO SISTEMA KAUNO TECHNOLOGIJOS UNIVERSITETAS INFORMATIKOS FAKULTETAS INFORMACIJOS SISTEMŲ KATEDRA Birutė Kudirkaitė Vaidas Žilionis SMULKAUS IR VIDUTINIO DYDŽIO ĮMONIŲ VIDAUS VALDYMO SISTEMA Magistro darbas Vadovė

More information

LR Seimo narių elgsenos tyrimas, naudojant klasterinę analizę ir daugiamačių skalių metodą

LR Seimo narių elgsenos tyrimas, naudojant klasterinę analizę ir daugiamačių skalių metodą LR Seimo narių elgsenos tyrimas, naudojant klasterinę analizę ir daugiamačių skalių metodą Vytautas Mickevičius Vytauto Didžiojo universitetas, Informatikos fakultetas Kaunas, Lietuva El. paštas: vytautas.mickevicius@fc.vdu.lt

More information

MICROSOFT PROJECT KOMPONENTŲ INTEGRAVIMAS TVARKARAŠČIŲ UŽDAVINIAMS SPRĘSTI

MICROSOFT PROJECT KOMPONENTŲ INTEGRAVIMAS TVARKARAŠČIŲ UŽDAVINIAMS SPRĘSTI ŠIAULIŲ UNIVERSITETAS MATEMATIKOS IR INFORMATIKOS FAKULTETAS INFORMATIKOS KATEDRA Vita Rutkauskait Informatikos specialyb s II kurso dieninio skyriaus student MICROSOFT PROJECT KOMPONENTŲ INTEGRAVIMAS

More information

A. Žukauskaitė a, R. Plukienė a, A. Plukis a, and D. Ridikas b

A. Žukauskaitė a, R. Plukienė a, A. Plukis a, and D. Ridikas b Lithuanian Journal of Physics, Vol. 47, No. 1, pp. 97 101 (2007) MODELLING OF NEUTRON AND PHOTON TRANSPORT IN IRON AND CONCRETE RADIATION SHIELDINGS BY THE MONTE CARLO METHOD A. Žukauskaitė a, R. Plukienė

More information

I/O Devices. Device. Lecture Notes Week 8

I/O Devices. Device. Lecture Notes Week 8 I/O Devices CPU PC ALU System bus Memory bus Bus interface I/O bridge Main memory USB Graphics adapter I/O bus Disk other devices such as network adapters Mouse Keyboard Disk hello executable stored on

More information

Tranzistoriai. 1947: W.H.Brattain and J.Bardeen (Bell Labs, USA)

Tranzistoriai. 1947: W.H.Brattain and J.Bardeen (Bell Labs, USA) LTRONOS ĮTASA 2009 1 Tranzistoriai 1947: W.H.Brattain an J.Bareen (Bell Labs, USA) JPPi J.P.Pierce (Bell lllabs): tran(sfer)+(re)sistor ( ) t = transistor. t 1949: W.Schockley pasiūlė plokštinio vipolio

More information

OCCASIONAL PAPER SERIES. No 6 / 2015 A NOTE ON THE BOOTSTRAP METHOD FOR TESTING THE EXISTENCE OF FINITE MOMENTS

OCCASIONAL PAPER SERIES. No 6 / 2015 A NOTE ON THE BOOTSTRAP METHOD FOR TESTING THE EXISTENCE OF FINITE MOMENTS BANK OF LITHUANIA. WORKING PAPER SERIES No 1 / 2008 SHORT-TERM FORECASTING OF GDP USING LARGE MONTHLY DATASETS: A PSEUDO REAL-TIME FORECAST EVALUATION EXERCISE 1 OCCASIONAL PAPER SERIES A NOTE ON THE BOOTSTRAP

More information

Formal Languages Generation in Systems of Knowledge Representation Based on Stratified Graphs

Formal Languages Generation in Systems of Knowledge Representation Based on Stratified Graphs INFORMATICA, 2015, Vol. 26, No. 3, 407 417 407 2015 Vilnius University DOI: http://dx.doi.org/10.15388/informatica.2015.55 Formal Languages Generation in Systems of Knowledge Representation Based on Stratified

More information

VILNIAUS UNIVERSITETAS. Haroldas Giedra ĮRODYMŲ SISTEMA KORELIATYVIŲ ŽINIŲ LOGIKAI. Daktaro disertacijos santrauka Fiziniai mokslai, informatika (09P)

VILNIAUS UNIVERSITETAS. Haroldas Giedra ĮRODYMŲ SISTEMA KORELIATYVIŲ ŽINIŲ LOGIKAI. Daktaro disertacijos santrauka Fiziniai mokslai, informatika (09P) VILNIAUS UNIVERSITETAS Haroldas Giedra ĮRODYMŲ SISTEMA KORELIATYVIŲ ŽINIŲ LOGIKAI Daktaro disertacijos santrauka Fiziniai mokslai, informatika (09P) Vilnius, 2014 Disertacija rengta 2009-2013 metais Vilniaus

More information

Formation of Cu(I) compounds in the Cu Cu(II) maleic acid system

Formation of Cu(I) compounds in the Cu Cu(II) maleic acid system chemija. 2009. vol. 20. No. 4. P. 226 230 lietuvos mokslų akademija, 2009 lietuvos mokslų akademijos leidykla, 2009 Formation of Cu(I) compounds in the Cu Cu(II) maleic acid system Julija Uljanionok*,

More information

Testavimo su naudotojais metodai Panaudojamumo vertinimai Dr. Kristina Lapin

Testavimo su naudotojais metodai Panaudojamumo vertinimai Dr. Kristina Lapin www.wordle.net Testavimas su naudotojais Mastymas garsiai Stebėjimai Apklausos Testavimo su naudotojais metodai Panaudojamumo vertinimai Dr. Kristina Lapin 1 Turinys Panaudojamumo testavimai Tiesioginiai

More information

Ekonometrinių modelių pritaikymas OMXV indekso pokyčių prognozavimui

Ekonometrinių modelių pritaikymas OMXV indekso pokyčių prognozavimui ISSN 1822-7996 (PRINT), ISSN 2335-8742 (ONLINE) TAIKOMOJI EKONOMIKA: SISTEMINIAI TYRIMAI: 2016.10 / 1 http://dx.doi.org/10.7220/aesr.2335.8742.2016.10.1.10 Inga MAKSVYTIENĖ Giedrius SAFONOVAS Ekonometrinių

More information

Computerized Laboratory in Science and Technology Teaching: Course in Machine Elements

Computerized Laboratory in Science and Technology Teaching: Course in Machine Elements Informatics in Education, 2005, Vol. 4, No. 1, 43 48 43 2005 Institute of Mathematics and Informatics, Vilnius Computerized Laboratory in Science and Technology Teaching: Course in Machine Elements Ivan

More information

INTELEKTUALAUS KOMPIUTERINIO RAŠTINGUMO TESTŲ KONSTRAVIMO METODO TYRIMAS

INTELEKTUALAUS KOMPIUTERINIO RAŠTINGUMO TESTŲ KONSTRAVIMO METODO TYRIMAS VILNIAUS UNIVERSITETAS Renata Danielienė INTELEKTUALAUS KOMPIUTERINIO RAŠTINGUMO TESTŲ KONSTRAVIMO METODO TYRIMAS Daktaro disertacija Fiziniai mokslai, informatika (09P) Vilnius, 2010 Disertacija rengta

More information

Electrochemical investigations of Ni P electroless deposition in solutions containing amino acetic acid

Electrochemical investigations of Ni P electroless deposition in solutions containing amino acetic acid CHEMIJA 7 Vol No P 7 Lietuvos mokslų Electrochemical akademija, investigations 7 of NiP electroless deposition in solutions containing amino acetic acid Lietuvos mokslų akademijos leidykla, 7 Electrochemical

More information

DISKREČIOJI MATEMATIKA

DISKREČIOJI MATEMATIKA Vilniaus universitetas Matematikos ir informatikos fakultetas Informatikos katedra Gintaras Skersys DISKREČIOJI MATEMATIKA Mokymo priemonė Vilnius 2016 Įvadas Kas yra diskrečioji matematika? Diskrečioji

More information

Mokomoji knyga GEOGRAFINI INFORMACINI SISTEM PAGRINDAI GII-01

Mokomoji knyga GEOGRAFINI INFORMACINI SISTEM PAGRINDAI GII-01 Mokomoji knyga GEOGRAFINI INFORMACINI SISTEM PAGRINDAI GII-01 Mokomoji knyga Geografini informacini sistem pagrindai (GII-01) Autoriai 1, 3 skyrius - Brad Maguire 2 skyrius - Andrew Miller 4, 5 skyrius

More information

LOGISTIKOS CENTRO CILINDRINIŲ AUTOMATIZUOTŲ TRANSPORTAVIMO SISTEMŲ KŪRIMAS IR TYRIMAS

LOGISTIKOS CENTRO CILINDRINIŲ AUTOMATIZUOTŲ TRANSPORTAVIMO SISTEMŲ KŪRIMAS IR TYRIMAS KAUNO TECHNOLOGIJOS UNIVERSITETAS VYTAUTAS JANILIONIS LOGISTIKOS CENTRO CILINDRINIŲ AUTOMATIZUOTŲ TRANSPORTAVIMO SISTEMŲ KŪRIMAS IR TYRIMAS Daktaro disertacija Technologijos mokslai, transporto inžinerija

More information

OPTINöS ELEKTRONIKOS ĮTAISAI

OPTINöS ELEKTRONIKOS ĮTAISAI 1 OPTINöS ELEKTRONIKOS ĮTAISAI Skaiduliiai šviesolaidžiai Skaiduliio šviesolaidžio sadara ir parametrai Pakopiio lūžio rodiklio skaidulos Gradietiio lūžio rodiklio skaidulos Spiduliai ir modos Reiškiiai

More information

Muzika ir akustika. 15 tema. studio. studio. Garsas, per kurį atsiskleidžia muzika

Muzika ir akustika. 15 tema. studio. studio. Garsas, per kurį atsiskleidžia muzika 14 15 Muzika ir akustika Artašes Gazarian VšĮ Muzikos magija Man jau senai buvo akivaizdu, kad posakis muzikos magija ne šiaip gražūs žodžiai. Tai reiškinys, kuris neabejotinai egzistuoja gyvenime. Vėliau

More information

Kaip parengti užklausos dokumentaciją

Kaip parengti užklausos dokumentaciją Kaip parengti užklausos dokumentaciją 2 Kaip parengti užklausos dokumentaciją 1.0 versija Šio dokumento pakeitimai Versija Pakeitimai 1.0 Pirmoji versija Kaip parengti užklausos dokumentaciją Išleidimo

More information

ANALIZĖ 0: TEORINĖ ARITMETIKA

ANALIZĖ 0: TEORINĖ ARITMETIKA ANALIZĖ 0: TEORINĖ ARITMETIKA RIMAS NORVAIŠA 11.4 variantas, 2018 rugsėjo 20 E-paštas: rimas.norvaisa @mii.vu.lt 1 skyrius Pratarmė Analizė 0 - pirmoji matematinės analizės dalis iš trijų. Ši dalis yra

More information

R. Plukienė a, A. Plukis a, V. Remeikis a, and D. Ridikas b a Institute of Physics, Savanorių 231, LT Vilnius, Lithuania

R. Plukienė a, A. Plukis a, V. Remeikis a, and D. Ridikas b a Institute of Physics, Savanorių 231, LT Vilnius, Lithuania Lithuanian Journal of Physics, Vol. 45, No. 4, pp. 281 287 (2005) MCNP AND ORIGEN CODES VALIDATION BY CALCULATING RBMK SPENT NUCLEAR FUEL ISOTOPIC COMPOSITION R. Plukienė a, A. Plukis a, V. Remeikis a,

More information

Structural integrity verification of polycarbonate type personal identity documents

Structural integrity verification of polycarbonate type personal identity documents 239 ISSN 1392-1207. MECHANIKA. 2012 Volume 18(2): 239-244 Structural integrity verification of polycarbonate type personal identity documents S. Greičius*, V. Daniulaitis**, R. Vasiliauskas***, K. Pilkauskas****,

More information

PRADŽIA. ŽEMĖLAPIŲ KŪRIMAS ARCGIS PROGRAMA

PRADŽIA. ŽEMĖLAPIŲ KŪRIMAS ARCGIS PROGRAMA GII-6: GEODEZIJA IR KARTOGRAFIJA GIS SISTEMOSE 1 LABORATORINIS DARBAS PRADŽIA. ŽEMĖLAPIŲ KŪRIMAS ARCGIS PROGRAMA Atlikimo terminas: darbui atlikti reikia maţdaug savaitės Praktinio darbo vertinimas: Šis

More information

Algoritmų analizės specialieji skyriai

Algoritmų analizės specialieji skyriai VGTU Matematinio modeliavimo katedra VGTU SC Lygiagrečiųjų skaičiavimų laboratorija Paskaitų kursas. 2-oji dalis. Turinys 1 Algoritmų sudarymo principai ir metodai Variantų perrinkimas Tai bendras daugelio

More information

Skaičiavimai matematiniu paketu Mathcad

Skaičiavimai matematiniu paketu Mathcad Skaičiavimai matematiniu paketu Mathcad 1. Mathcad aplinka. Paprasti skaičiavimai Mathcad yra unikali priemonė, leidžianti dirbti su skaičiais, lygtimis, tekstais ir diagramomis. Mathcad viskas pateikiama

More information

STABILUS PARIBIO SLUOKSNIS

STABILUS PARIBIO SLUOKSNIS Vilniaus universitetas Hidrologijos ir klimatologijos katedra STABILUS PARIBIO SLUOKSNIS Mikroklimatologijos referatas Hidrometeorologijos magistrantūros studijų programos I kurso studentės Ramunės Sližytės

More information

Layered Polynomial Filter Structures

Layered Polynomial Filter Structures INFORMATICA, 2002, Vol. 13, No. 1, 23 36 23 2002 Institute of Mathematics and Informatics, Vilnius Layered Polynomial Filter Structures Kazys KAZLAUSKAS, Jaunius KAZLAUSKAS Institute of Mathematics and

More information

Rinktiniai informacijos saugos skyriai. 3. Kriptografija ir kriptografijos protokolai: Klasikinė kriptografija

Rinktiniai informacijos saugos skyriai. 3. Kriptografija ir kriptografijos protokolai: Klasikinė kriptografija Rinktiniai informacijos saugos skyriai 3. Kriptografija ir kriptografijos protokolai: Klasikinė kriptografija Paskaitos tikslai Šioje temoje nagrinėjami klausimai: Perstatų šifrai Keitinių šifrai Vienos

More information

Sandra Mekšriūnaitė, Romualdas Gurevičius

Sandra Mekšriūnaitė, Romualdas Gurevičius ŠEIMOS MEDICINOS IR PIRMINĖS PSICHIKOS SVEIKATOS PRIEŽIŪROS PASLAUGAS TEIKIANČIŲ ASMENS SVEIKATOS PRIEŽIŪROS ĮSTAIGŲ GEOGRAFINIS PRIEINAMUMAS LIETUVOJE Sandra Mekšriūnaitė, Romualdas Gurevičius Higienos

More information

CHAPTER 6 : LITERATURE REVIEW

CHAPTER 6 : LITERATURE REVIEW CHAPTER 6 : LITERATURE REVIEW Chapter : LITERATURE REVIEW 77 M E A S U R I N G T H E E F F I C I E N C Y O F D E C I S I O N M A K I N G U N I T S A B S T R A C T A n o n l i n e a r ( n o n c o n v e

More information

P E R E N C O - C H R I S T M A S P A R T Y

P E R E N C O - C H R I S T M A S P A R T Y L E T T I C E L E T T I C E I S A F A M I L Y R U N C O M P A N Y S P A N N I N G T W O G E N E R A T I O N S A N D T H R E E D E C A D E S. B A S E D I N L O N D O N, W E H A V E T H E P E R F E C T R

More information

KAUNO TECHNOLOGIJOS UNIVERSITETAS ELEKTROS IR ELEKTRONIKOS FAKULTETAS ELEKTRONIKOS INŽINERIJOS KATEDRA

KAUNO TECHNOLOGIJOS UNIVERSITETAS ELEKTROS IR ELEKTRONIKOS FAKULTETAS ELEKTRONIKOS INŽINERIJOS KATEDRA KAUNO TECHNOLOGIJOS UNIVERSITETAS ELEKTROS IR ELEKTRONIKOS FAKULTETAS ELEKTRONIKOS INŽINERIJOS KATEDRA ŽMOGAUS BIOLOGINIO AMŽIAUS NUSTATYMO ĮRENGINIO DUOMENŲ VALDYMO KŪRIMAS Sistemos aprašymas KAUNAS,

More information

ELECTROMAGNETIC FIELD AND DISPERSION CHARACTERISTIC CALCULATIONS OF OPEN WAVEGUIDES MADE OF ABSORPTIVE MATERIALS

ELECTROMAGNETIC FIELD AND DISPERSION CHARACTERISTIC CALCULATIONS OF OPEN WAVEGUIDES MADE OF ABSORPTIVE MATERIALS VILNIUS GEDIMINAS TECHNICAL UNIVERSITY STATE RESEARCH INSTITUTE CENTER FOR PHYSICAL SCIENCES AND TECHNOLOGY Tatjana GRIC ELECTROMAGNETIC FIELD AND DISPERSION CHARACTERISTIC CALCULATIONS OF OPEN WAVEGUIDES

More information

THe use of mathematical models for modelling sulphur dioxide sorption on materials produced from fly ashes

THe use of mathematical models for modelling sulphur dioxide sorption on materials produced from fly ashes ENERGETIKA. 2018. T. 64. Nr. 2. P. 105 113 Lietuvos mokslų akademija, 2018 THe use of mathematical models for modelling sulphur dioxide sorption on materials produced from fly ashes Natalia Czuma 1, Katarzyna

More information

Mokymosi proceso vertinimo tolydinio modelio kūrimas ir validavimas

Mokymosi proceso vertinimo tolydinio modelio kūrimas ir validavimas VILNIAUS UNIVERSITETAS MATEMATIKOS IR INFORMATIKOS FAKULTETAS PROGRAMŲ SISTEMŲ KATEDRA Mokymosi proceso vertinimo tolydinio modelio kūrimas ir validavimas Learning Process Assessment Continuous Model Creation

More information

Ekserginė analizė ir eksergoekonomika. Kombinuoto ciklo kogeneracinės jėgainės studija

Ekserginė analizė ir eksergoekonomika. Kombinuoto ciklo kogeneracinės jėgainės studija energetika. 2012. T. 58. Nr. 2. P. 55 65 lietuvos mokslų akademija, 2012 Ekserginė analizė ir eksergoekonomika. Kombinuoto ciklo kogeneracinės jėgainės studija Audrius Bagdanavičius Kardifo universitetas,

More information

Archeologinio sluoksnio diagnostika dirvožemio profilyje ir archeologinių vietovių apsauga

Archeologinio sluoksnio diagnostika dirvožemio profilyje ir archeologinių vietovių apsauga Archeologinio sluoksnio diagnostika dirvožemio profilyje ir archeologinių vietovių apsauga Dr. Andra Strimaitienė, dokt. Monika Žemantauskaitė Lietuvos istorijos institutas Archeologijos skyrius 2014-04-09

More information

Kiekviename šio vadovo skyrių pristatoma bendra ArcGIS funkcijų grupė, yra aprašomi įrankių naudojimo žingsniai, jie iliustruojami ekrano kopijomis.

Kiekviename šio vadovo skyrių pristatoma bendra ArcGIS funkcijų grupė, yra aprašomi įrankių naudojimo žingsniai, jie iliustruojami ekrano kopijomis. ĮVADAS Į ŠĮ VADOVĄ Šis vadovas yra skirtas profesinio bakalauro ir bakalauro studijų studentams, kurie auditorinio darbo sąlygomis mokosi dirbti ArcGIS 10 programine įranga. Šiuo leidiniu tikiuosi papildyti

More information

Panaudojamumo vertinimai Dr. Kristina Lapin

Panaudojamumo vertinimai Dr. Kristina Lapin www.wordle.net Testavimas su naudotojais Mastymas garsiai Stebėjimai Apklausos Testavimas su naudotojais Panaudojamumo vertinimai Dr. Kristina Lapin 1 Turinys Panaudojamumo testavimai Tiesioginiai Panaudojamumo

More information

Temos studijavimo tikslai

Temos studijavimo tikslai 8 PASKAITA MARKETINGO KOMPLEKSO ELEMENTAS KAINA Temos studijavimo tikslai Studijuodami šią temą studentai galės įgyti žinias ir sugebėjimus, kurie leis: SUPRASTI kainą ir jos reikšmę, rinkų tipų poveikį

More information

A general biochemical kinetics data fitting algorithm for quasi-steady-state detection

A general biochemical kinetics data fitting algorithm for quasi-steady-state detection Lietuvos matematikos rinkinys ISSN 0132-2818 Proc. of the Lithuanian Mathematical Society, Ser. A Vol. 57, 2016 DOI: 10.15388/LMR.A.2016.03 pages 12 17 A general biochemical kinetics data fitting algorithm

More information

UNIQUE FJORDS AND THE ROYAL CAPITALS UNIQUE FJORDS & THE NORTH CAPE & UNIQUE NORTHERN CAPITALS

UNIQUE FJORDS AND THE ROYAL CAPITALS UNIQUE FJORDS & THE NORTH CAPE & UNIQUE NORTHERN CAPITALS Q J j,. Y j, q.. Q J & j,. & x x. Q x q. ø. 2019 :. q - j Q J & 11 Y j,.. j,, q j q. : 10 x. 3 x - 1..,,. 1-10 ( ). / 2-10. : 02-06.19-12.06.19 23.06.19-03.07.19 30.06.19-10.07.19 07.07.19-17.07.19 14.07.19-24.07.19

More information

Capture and Replay Hardware behaviour

Capture and Replay Hardware behaviour Capture and Replay Hardware behaviour for Regression Testing and Useful Bug Reports Martin Pitt Linux Plumbers Conference, September 2013 Motivation From: Joe User

More information

Short Term Wind Speed Forecasting with ANN in Batman, Turkey

Short Term Wind Speed Forecasting with ANN in Batman, Turkey ELECTRONICS AND ELECTRICAL ENGINEERING ISSN 139 115 11. No. 1(7) ELEKTRONIKA IR ELEKTROTECHNIKA T 17 ELECTRONICS ELEKTRONIKA Short Term Wind Speed Forecasting with ANN in Batman, Turkey T. Ç. Akinci Department

More information

CS 3411 Systems Programming

CS 3411 Systems Programming CS 3411 Systems Programming Department of Computer Science Michigan Technological University Pipe Inter-Process Communication in Unix Today's Topics How to communicate between processes without using signals

More information

VILNIUS UNIVERSITY MAŽVYDAS MACKEVIČIUS COMPUTER MODELING OF CHEMICAL SYNTHESIS AT HIGH TEMPERATURES

VILNIUS UNIVERSITY MAŽVYDAS MACKEVIČIUS COMPUTER MODELING OF CHEMICAL SYNTHESIS AT HIGH TEMPERATURES VILNIUS UNIVERSITY MAŽVYDAS MACKEVIČIUS COMPUTER MODELING OF CHEMICAL SYNTHESIS AT HIGH TEMPERATURES Summary of Doctoral Dissertation Physical Sciences, Informatics (09 P) Vilnius, 2013 Doctoral dissertation

More information

Lloyd Max s Algorithm Implementation in Speech Coding Algorithm Based on Forward Adaptive Technique

Lloyd Max s Algorithm Implementation in Speech Coding Algorithm Based on Forward Adaptive Technique INFORMATICA, 2008, Vol. 19, No. 2, 255 270 255 2008 Institute of Mathematics and Informatics, Vilnius Lloyd Max s Algorithm Implementation in Speech Coding Algorithm Based on Forward Adaptive Technique

More information

DIELECTRIC PROPERTIES OF AURIVILLIUS-TYPE Bi 4-x O 12. Ti 3 CERAMICS

DIELECTRIC PROPERTIES OF AURIVILLIUS-TYPE Bi 4-x O 12. Ti 3 CERAMICS Lithuanian Journal of Physics, Vol 53, No 4, pp 210 214 (2013) Lietuvos mokslų akademija, 2013 DIELECTRIC PROPERTIES OF AURIVILLIUS-TYPE Bi 4-x CERAMICS E Palaimienė a, J Banys a, VA Khomchenko b, and

More information

Parengė dr. Žibutė Petrauskienė Atnaujino Eglė Šegždienė, Audrė Trumpienė. Turinys

Parengė dr. Žibutė Petrauskienė Atnaujino Eglė Šegždienė, Audrė Trumpienė. Turinys 7 modulis. Fizinių mokslų informacijos šaltinių paieška Parengė dr. Žibutė Petrauskienė Atnaujino Eglė Šegždienė, Audrė Trumpienė Turinys Įvadas... 304 7.1. Fizinių mokslų informacijos paieškos ypatumai...

More information

T i t l e o f t h e w o r k : L a M a r e a Y o k o h a m a. A r t i s t : M a r i a n o P e n s o t t i ( P l a y w r i g h t, D i r e c t o r )

T i t l e o f t h e w o r k : L a M a r e a Y o k o h a m a. A r t i s t : M a r i a n o P e n s o t t i ( P l a y w r i g h t, D i r e c t o r ) v e r. E N G O u t l i n e T i t l e o f t h e w o r k : L a M a r e a Y o k o h a m a A r t i s t : M a r i a n o P e n s o t t i ( P l a y w r i g h t, D i r e c t o r ) C o n t e n t s : T h i s w o

More information

V. Palenskis, J. Matukas, and B. Šaulys

V. Palenskis, J. Matukas, and B. Šaulys Lithuanian Journal of Physics, Vol. 49, No. 4, pp. 453 460 (2009) doi:10.3952/lithjphys.49408 ANALYSIS OF ELECTRICAL AND OPTICAL FLUCTUATIONS OF LIGHT-EMITTING DIODES BY CORRELATION METHOD V. Palenskis,

More information

Iracionalieji skaičiai

Iracionalieji skaičiai Iracionalieji skaičiai Rimas Norvaiša 2018 m. balandžio mėn. 2 d. Abstract Dalomoji medžiaga paskaitoms Matematika ir filosofija". Iracionaliaisiais skaičiais vadinami tie realiųjų skaičių aibės elementai,

More information

ADAPTYVIOSIOS TECHNOLOGIJOS TAIKYMAS SANDĖLIO UŽDAVINIUI SPRĘSTI

ADAPTYVIOSIOS TECHNOLOGIJOS TAIKYMAS SANDĖLIO UŽDAVINIUI SPRĘSTI 14-osios Lietuvos jaunųjų mokslininkų konferencijos Mokslas Lietuvos ateitis ISSN 2029-7149 online 2011 metų teminės konferencijos straipsnių rinkinys ISBN 978-9955-28-834-3 INFORMATIKA ADAPTYVIOSIOS TECHNOLOGIJOS

More information

VILNIAUS UNIVERSITETAS PAGRINDAI. Mokymo priemonė

VILNIAUS UNIVERSITETAS PAGRINDAI. Mokymo priemonė VILNIAUS UNIVERSITETAS Valdas Dičiūnas ALGORITMŲ ANALIZĖS PAGRINDAI Mokymo priemonė Vilnius, 2005 ĮVADAS Algoritmų analizės objektas yra algoritmai. Nors algoritmo sąvoka yra laikoma pirmine matematikos

More information

Esterio hidrolizės greičio tyrimas.

Esterio hidrolizės greičio tyrimas. Laboratorinis darbas Deivis Plaušinaitis Esterio hidrolizės greičio tyrimas. Darbo tikslas. Nustatyti esterio hidrolizės reakcijos greičio konstantą pasirinktoje temperatūroje. Teorinė dalis. Cheminių

More information

Non-invasive ultrasonic level measurement technology

Non-invasive ultrasonic level measurement technology ULTRAGARSAS Journal, Ultrasound Institute, Kaunas, Lithuania For all papers of this publication click: www.ndt.net/search/docs.php3?mainsource=27 ISSN 1392-2114 ULTRAGARSAS, Nr.4(61). 2006. Non-invasive

More information

Lietuvos miškų pajaurėjusių, paprastųjų ir rudžemiškųjų smėlžemių granuliometrinės sudėties ypatumai

Lietuvos miškų pajaurėjusių, paprastųjų ir rudžemiškųjų smėlžemių granuliometrinės sudėties ypatumai ŽEMĖS ŪKIO MOKSLAI. 2014. T. 21. Nr. 3. P. 181 190 Lietuvos mokslų akademija, 2014 Lietuvos miškų pajaurėjusių, paprastųjų ir rudžemiškųjų smėlžemių granuliometrinės sudėties ypatumai Valstybinė miškų

More information

E. Šermukšnis a, V. Palenskis a, J. Matukas a S. Pralgauskaitė a, J. Vyšniauskas a, and R. Baubinas b

E. Šermukšnis a, V. Palenskis a, J. Matukas a S. Pralgauskaitė a, J. Vyšniauskas a, and R. Baubinas b Lithuanian Journal of Physics, Vol. 46, No. 1, pp. 33 38 (2006) INVESTIGATION OF DYNAMIC CHARACTERISTICS OF InGaAsP / InP LASER DIODES E. Šermukšnis a, V. Palenskis a, J. Matukas a S. Pralgauskaitė a,

More information

VILNIAUS UNIVERSITETAS

VILNIAUS UNIVERSITETAS VILNIAUS UNIVERSITETAS FIZIKOS FAKULTETAS RADIOFIZIKOS KATEDRA Signalų valdymo įtaisai Metodiniai nurodymai studentams paruošė doc. Vytautas Kunigėlis Vilnius 005 Signalų valdymo įtaisai Dalyko sando aprašas

More information

Centralizuoto stebėjimo programa

Centralizuoto stebėjimo programa UŽDAROJI AKCINĖ BENDROVĖ Centralizuoto stebėjimo programa (versija v2.35) Programos įdiegimas ir konfigūracija Dokumento paskirtis Šis dokumentas nusako programų paketo Monas MS v2.35 diegimo ir konfigūravimo

More information

An introduction to flash memory in Linux

An introduction to flash memory in Linux An introduction to flash memory in Linux Ezequiel Garcia Linux Developer Conference Brazil 2018 1/34 Agenda Flash memory: NAND and NOR Linux MTD subsystem Linux UBI/UBIFS systems

More information

Bsto inžinerini& mazg& modeliavimo metodika Ptolemy II sistemoje

Bsto inžinerini& mazg& modeliavimo metodika Ptolemy II sistemoje KAUNO TECHNOLOGIJOS UNIVERSITETAS INFORMATIKOS FAKULTETAS KOMPIUTERI KATEDRA Stasys Razmus Bsto inžinerini& mazg& modeliavimo metodika Ptolemy II sistemoje Magistro darbas Vadovas prof. dr. E. Kazanavi0ius

More information

! " # $! % & '! , ) ( + - (. ) ( ) * + / 0 1 2 3 0 / 4 5 / 6 0 ; 8 7 < = 7 > 8 7 8 9 : Œ Š ž P P h ˆ Š ˆ Œ ˆ Š ˆ Ž Ž Ý Ü Ý Ü Ý Ž Ý ê ç è ± ¹ ¼ ¹ ä ± ¹ w ç ¹ è ¼ è Œ ¹ ± ¹ è ¹ è ä ç w ¹ ã ¼ ¹ ä ¹ ¼ ¹ ±

More information

. ffflffluary 7, 1855.

. ffflffluary 7, 1855. x B B - Y 8 B > ) - ( vv B ( v v v (B/ x< / Y 8 8 > [ x v 6 ) > ( - ) - x ( < v x { > v v q < 8 - - - 4 B ( v - / v x [ - - B v B --------- v v ( v < v v v q B v B B v?8 Y X $ v x B ( B B B B ) ( - v -

More information

Turinys. Turinys: Kurso tikslai. Olga Štikonienė. privalumus ir trūkumus); Tiesines algebros uždaviniai

Turinys. Turinys: Kurso tikslai. Olga Štikonienė. privalumus ir trūkumus); Tiesines algebros uždaviniai Turinys Tiesinių lygčių sistemų sprendimas Olga Štikonienė Diferencialinių lygčių ir skaičiavimo matematikos katedra, MIF VU Istorinė apžvalga TLS sprendimas 3 4 Tiesinių lygčių sistemų sprendimas / 58

More information

Testavimas su naudotojais

Testavimas su naudotojais Testavimas su naudotojais Mastymas garsiai Stebėjimai Apklausos Testavimas su naudotojais www.wordle.net 1 Turinys Panaudojamumo testavimai Tiesioginiai darbo vietoje panaudojamumo laboratorijoje Netiesioginiai

More information

DAUGIAMAČIŲ DUOMENŲ VIZUALIZAVIMO METODAI

DAUGIAMAČIŲ DUOMENŲ VIZUALIZAVIMO METODAI MATEMATIKOS IR INFORMATIKOS INSTITUTAS Gintautas DZEMYDA Olga KURASOVA Julius ŽILINSKAS DAUGIAMAČIŲ DUOMENŲ VIZUALIZAVIMO METODAI Vadovėlis informatikos krypties doktorantams ir magistrantams MOKSLO AIDAI

More information

ISO/IEC JTC1/SC2/WG2 N2

ISO/IEC JTC1/SC2/WG2 N2 ISO/IEC JTC1/SC2/WG2 N2 2000-04-13 Universal Multiple-Octet Coded Character Set International Organization for Standardization Organisation Internationale de Normalisation Œåæäóíàðîäíàß îðãàíèçàöèß ïî

More information

THE EXAMINING QUARTZ GRAINS OF SEDIMENTARY ROCKS BY SCANNING ELECTRON MICROSCOPE

THE EXAMINING QUARTZ GRAINS OF SEDIMENTARY ROCKS BY SCANNING ELECTRON MICROSCOPE ISSN 0132 3156 Annales Geographicae 39(1) t., 2006 THE EXAMINING QUARTZ GRAINS OF SEDIMENTARY ROCKS BY SCANNING ELECTRON MICROSCOPE József Lóki 1, Csaba Cserháti 2 1 University of Debrecen, Department

More information

GARSĄ SUGERIANČIŲ MEDŽIAGŲ IŠDĖSTYMO VIETŲ ĮTAKA SKAIČIUOJANT SALĖS AIDĖJIMO TRUKMĘ SKIRTINGOMIS FORMULĖMIS

GARSĄ SUGERIANČIŲ MEDŽIAGŲ IŠDĖSTYMO VIETŲ ĮTAKA SKAIČIUOJANT SALĖS AIDĖJIMO TRUKMĘ SKIRTINGOMIS FORMULĖMIS GARSĄ SUGERIANČIŲ MEDŽIAGŲ IŠDĖSTYMO VIETŲ ĮTAKA SKAIČIUOJANT SALĖS AIDĖJIMO TRUKMĘ SKIRTINGOMIS FORMULĖMIS Vytautas J. Stauskis Vilniaus Gedimino technikos universitetas. Įvadas Projektuojant įvairaus

More information

Elektronų tarpusavio sąveikos grafene modeliavimas sklaidos matricos metodu

Elektronų tarpusavio sąveikos grafene modeliavimas sklaidos matricos metodu Vilniaus universitetas Fizikos fakultetas Teorinės fizikos katedra Emilis Pileckis Elektronų tarpusavio sąveikos grafene modeliavimas sklaidos matricos metodu Magistrantūros studijų baigiamasis darbas

More information

VGTU EF ESK.

VGTU EF ESK. Mikrobangų ir optinės elektronikos įtaisai 8 1 MB VAKUUMINIAI ELEKTRONINIAI ĮTAISAI BĖGANČIOSIOS BANGOS LEMPOS Mikrobangų ir optinės elektronikos įtaisai 8 BĖGANČIOSIOS BANGOS LEMPOS A traeling wae tube

More information

VILNIUS UNIVERSITY LIJANA STABINGIENĖ IMAGE ANALYSIS USING BAYES DISCRIMINANT FUNCTIONS

VILNIUS UNIVERSITY LIJANA STABINGIENĖ IMAGE ANALYSIS USING BAYES DISCRIMINANT FUNCTIONS VILNIUS UNIVERSITY LIJANA STABINGIENĖ IMAGE ANALYSIS USING BAYES DISCRIMINANT FUNCTIONS Summary of doctoral dissertation Physical sciences (P 000) Informatics (09 P) Vilnius, 2012 Doctoral dissertation

More information

SuperNova. Didintuvas. Versija 13.0

SuperNova. Didintuvas. Versija 13.0 SuperNova Didintuvas Versija 13.0 Dolphin Computer Access Publikuota:2012 rugpjūčio 2 Copyright 1998-2012 Dolphin Computer Access Ltd. Technology House Blackpole Estate West Worcester WR3 8TJ United Kingdom

More information

2 k Factorial Designs Raj Jain

2 k Factorial Designs Raj Jain 2 k Factorial Designs Raj Jain Washington University in Saint Louis Saint Louis, MO 63130 Jain@cse.wustl.edu These slides are available on-line at: http://www.cse.wustl.edu/~jain/cse567-06/ 17-1 Overview!

More information

20 SOCIALINIS DRAUDIMAS SVARBI VALDŽIOS FUNKCIJA

20 SOCIALINIS DRAUDIMAS SVARBI VALDŽIOS FUNKCIJA VII. SOCIALINö APSAUGA: SOCIALINIS DRAUDIMAS IR SOCIALINö PARAMA 20 SOCIALINIS DRAUDIMAS SVARBI VALDŽIOS FUNKCIJA 20.1 Kas yra draudimas ir kod l žmon s jį vertina? 20.1.1 Kas yra draudimas? 20.1.2 Kod

More information