The use of Modified Polytetrafluoroethylene for 157nm and 193nm Soft Pellicles

Size: px
Start display at page:

Download "The use of Modified Polytetrafluoroethylene for 157nm and 193nm Soft Pellicles"

Transcription

1 The use of Modified Polytetrafluoroethylene for 157nm and 193nm Soft Pellicles Paul A. Zimmerman, Chris van Peski, and Danny Miller International SEMATECH Andrew Proctor Intel Corporation Ryan P. Callahan and Matthew Cashion University of Texas, Austin

2 Outline Introduction (old work) Approach Materials Transparency Experimental Details Irradiation Chamber, Starting Materials, and Exp. Conditions, results Moving forward (new work) Approaches Results Conclusions

3 Introduction: Goals and Approach Develop a polymer that can meet requirements for 157nm pellicle Incorporate learning's from University projects Focus on systems that have reasonable economics < $400.00/pellicle Material should be useful for 193 and 248nm lithography Develop first from a robustness standpoint and then seek to improve transparency

4 Transparency Polymer systems need cross-linking, rings, heteroatoms, or branching to break up σ-conjugation (Source French et al. DuPont) Introducing hydrogen to improve transparency causes rapid degradation of all material in which it is used Systems can easily be designed and synthesized that meet the >70% initial transparency goal; however, all fail to survive or stabilize at useful transparencies The most transparent (and longest lived) species at 157nm are the perfluoropolyethers

5 Proposed New Materials CF CF CF 2 CF CF CF 2 CF CF 2 CF CF 2 CF 2 CF CF CF 2 CF CF 2 CF CF CF 2 CF CF 2 CF CF CF CF CF 2 CF 2 CF CF CF 2 CF 2 CF CF 2 CF CF CF 2 CF CF 2 CF CF 2 CF CF CF 2 CF CF 2 CF CF CF C CF 2 CF O CF CF 2 O CF 2 CF 2 O CF 2 CF O CF CF O CF O CF 2 CF O CF CF 2 O CF CF 2 CF O CF 2 CF O CF 2 CF O CF 2 CF CF CF CF 2 O CF CF CF O CF CF O CF 2 CF O CF 2 CF CF CF 2 O CF CF CF CF 2 CF CF 2 CF CF CF O CF 2 CF O CF 2 CF CF 2 CF 2 O CF CF 2 O CF 2

6 Absorption Spectrum of PTFE Absorption Intensity Intensity ev

7 Experimental: Irradiation Chamber Cu Gasket Al Frames Heater Cavities Thermocouple Ports SS Cap Ti Foil SS Plate Al Chamber Conflat Fitting for Valve Assembly

8 Experimental: Materials and Conditions Used PTFE (~6.5µm), FEP (~13µm), and PFA (~13µm) (Commercial Grade) Materials were held at T m during irradiation Irradiation conditions: with 5 sec sweeps of the e-beam on the material Stacked free standing films in Ar atmosphere Fluorination of the films carried out in the same chamber (20% F 2 in N n ) E.R Lovejoy, M.I. Bro, and G.H. Bowers, Chemistry of Radiation Crosslinking of Branched Fluorocarbon Resins. J. Appl. Polymer Sci., 9, 411, (1965). A. Oshima, S. Ikeda, E. Katoh, Y. Tabata, Chemical structure and physical properties of radiation-induced crosslinking of polytetrafluoroethylene, Rad. Physics and Chem., 62, 39, (2001). U. Lappan, U Geissler, L. Häussler, D. Jehnichen, G. Pompe, K. Lunkwitz, Radation-induced branching and crosslinking of poly(tetrafluoroethylene) (PTFE), Nucl. Inst. and Methods in Physics Res. B 185, (2001)

9 Irradiation of PTFE Films Red = Control PTFE Light Green = Irradiated (~3.5MGy/cm 2 ) % Transmission Dark Green = Irradiated (~7MGy/cm 2 ) 100 Blue = Irradiated (~7 MGy) and F 2 treatment Wavelength (nm)

10 XRD Data of PTFE and Irradiated PTFE Control PTFE Irradiated PTFE

11 Comparison of PTFE, FEP, PFA % Transmission Blue = PTFE Green = FEP Red = PFA Wavelength (nm)

12 Modified PTFE at 193nm and 248nm Paul Zimmerman Modified Extruded PTFE UV-VIS Spectra Tested at 193nm 400 Hz 100 Transmission (%) PZ F-PTFE Baseline PZ F-PTFE 756 J/cm2 Dose PZ F-PTFE 3.7 KJ/cm2 Dose Wavelenght (nm) Wavelength (nm)

13 TOF-SIMS Data pre and post-exposure 4 x C 3 F Red = Irradiated + F 2 Blue = Irradiated and exposed C 4 H 7 C 3 H 8 N Intensity 0.8 C 4 H C 4 H 5 C 3 H 4 N C 3 H 6 N / u

14 TOF-SIMS Data (Cont) 3 x10 C 3 F Red = Irradiated + F 2 Blue = Irradiated and exposed 2.5 C 4 H 7 Intensity / u

15 TOF-SIMS Data (Cont. d) Intensity 2 x C 13 F 25 Control PTFE Intensity 2 x C 13 F 25 Irradiated PTFE Intensity 2 x C 13 F 25 Irradiated and Exposed PTFE / u

16 Crosslinking Density of Irradiated Films Assume the yield of Crosslinks for the films = G(X) = 3 Dose: 1MGy = 100 MRad The number of events per 100 units = G(X) * MW(g/mol) * Dose (MRad) *1.036 x 10-6 *100% = 3*50*100* x 10-6 *100 = 1.55 CF 2 units per 100 involved in crosslinks Therefore at a dose of 7MGy there will be a crosslink every 100/1.55/7 ~ 9.2 units Source: Principles of Radiation Chemistry, O Donnell and Sangster, p. 166

17 Most Recent work Approaches Modify material in hand Reduce thickness of 6.5 µm thick PTFE to 1 µm Increase cross linking density to improve transparency Tried to obtain 2 µm thick PTFE from several companies No response to requests Exploration of new materials

18 Results: Exposure Dose Variation PTFE (e-beam and F2 treated) Transmission % min (3.4 MGy/cm2) 10 Min (6.8 MGy/cm2) 15 Min (10.2 MGy/cm2) 20 Min (13.6 MGy/cm2) wavelength(nm)

19 XPS Results CF x CF 2 CF 2 C CF 2 CF 2

20 Conclusions New Approach of increasing dose to reduce thickness and improve transparency does not work It is likely that 2µm PTFE will be suitable for the process and produce extremely transparent and resilient membranes New materials may also offer options, however, this can t be said with certainty

21 Acknowledgements Sematech- Georgia Rich,Vicki Graffenberg, The Whittaker Group (University of Queensland), Roger Sinta MIT/LL, The Turro Group (Columbia University), The Yue Kuo Group (Texas A&M), The Desmarteau Group (Clemson), The Chuminov and Luzinov Groups (Clemson) St. Gobain for providing a significant amount of the starting materials for the project Disclaimer: SEMATECH, the SEMATECH logo, International SEMATECH, and the International SEMATECH logo are the registered servicemarks of SEMATECH INC. ARMC, ATDF, the ATDF logo, Advanced Technology Development Facility, ISMI and the International SEMATECH Manufacturing Initiative are servicemarks of SEMATECH INC. All other service marks and trademarks are the property of their respective owners.

Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon AF Pellicles

Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon AF Pellicles Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon A Pellicles Idriss Blakey, Graeme A. George,David J. T. Hill, Heping Liu, iras Rasoul, Llew Rintoul, Andrew K. Whittaker, Paul

More information

PERFLUORINATED POLYMER GRAFTING: INFLUENCE OF PRE- IRRADIATION CONDITIONS

PERFLUORINATED POLYMER GRAFTING: INFLUENCE OF PRE- IRRADIATION CONDITIONS 2009 International Nuclear Atlantic Conference - INAC 2009 Rio de Janeiro,RJ, Brazil, September27 to October 2, 2009 ASSOCIAÇÃO BRASILEIRA DE ENERGIA NUCLEAR - ABEN ISBN: 978-85-99141-03-8 PERFLUORINATED

More information

Formation and micromachining of Teflon fluorocarbon polymer film by a completely dry process using synchrotron radiation

Formation and micromachining of Teflon fluorocarbon polymer film by a completely dry process using synchrotron radiation Formation and micromachining of Teflon fluorocarbon polymer film by a completely dry process using synchrotron radiation Muneto Inayoshi, Masafumi Ito, Masaru Hori, and Toshio Goto Department of Quantum

More information

Development of new PEFC membrane by means of EB grafting

Development of new PEFC membrane by means of EB grafting Development of new PEFC membrane by means of EB grafting Masakazu WASHIO*, Fumihiro MUTO, Jingye LI, Takaharu MIURA and Akihiro OSHIMA Advanced Research Institute for Science and Engineering, Waseda University

More information

Behavior of candidate organic pellicle materials under 157 nm laser irradiation

Behavior of candidate organic pellicle materials under 157 nm laser irradiation Behavior of candidate organic pellicle materials under 157 nm laser irradiation A. Grenville Intel / International SEMATECH Austin, TX 78741-6499 V. Liberman, M. Rothschild, J.H.C. Sedlacek Lincoln Laboratory,

More information

Mechanical and Optical Properties of Polytetrafluoroethylene Treated by γ-irradiation near the Melting Point

Mechanical and Optical Properties of Polytetrafluoroethylene Treated by γ-irradiation near the Melting Point ФІЗИКА І ХІМІЯ ТВЕРДОГО ТІЛА PHYSICS AND CHEMISTRY OF SOLID STATE Т. 12, 4 (2011) С. 1013-1017 V. 12, 4 (2011) P. 1013-1017 PACS: 61.82.PV ISSN 1729-4428 E.M. Konova 1, Yu.E. Sakhno 2, S.A. Khatipov 1,

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

IRRADIATION EFFECTS ON POLY (VINYL CHLORIDE)

IRRADIATION EFFECTS ON POLY (VINYL CHLORIDE) IRRADIATION EFFECTS ON POLY (VINYL CHLORIDE) L.COSTA, V. BRUNELLA, P. BRACCO Dipartimento di Chimica IFM, Università di Torino, Italy E-mail: brunella@ch.unito.it We have studied the electron beam effects

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

S. Ichikawa*, R. Kuze, T. Shimizu and H. Shimaoka INTRODUCTION

S. Ichikawa*, R. Kuze, T. Shimizu and H. Shimaoka INTRODUCTION Journal of Surface Analysis,Vol.12 No.2 (2005); S.Ichikawa, et al., Coverage Estimation of Silane. Coverage Estimation of Silane Functionalized Perfluoropolyether Layer by using Time of Flight Secondary

More information

Supplementary Figure S1. AFM characterizations and topographical defects of h- BN films on silica substrates. (a) (c) show the AFM height

Supplementary Figure S1. AFM characterizations and topographical defects of h- BN films on silica substrates. (a) (c) show the AFM height Supplementary Figure S1. AFM characterizations and topographical defects of h- BN films on silica substrates. (a) (c) show the AFM height topographies of h-bn film in a size of ~1.5µm 1.5µm, 30µm 30µm

More information

Novel Plastic Microchannel-Based Direct Fast Neutron Detection

Novel Plastic Microchannel-Based Direct Fast Neutron Detection Novel Plastic Microchannel-Based Direct Fast Neutron Detection D. Beaulieu, P. de Rouffignac, D. Gorelikov, H. Klotzsch, J. Legere*, J. Ryan*, K. Saadatmand, K. Stenton, N. Sullivan, A. Tremsin Arradiance

More information

PHOTOCATALYTIC DEGRADATION STUDIES OF POLYANILINE BASED ZnO-Al 2 O 3 NANOCOMPOSITE

PHOTOCATALYTIC DEGRADATION STUDIES OF POLYANILINE BASED ZnO-Al 2 O 3 NANOCOMPOSITE PHOTOCATALYTIC DEGRADATION STUDIES OF POLYANILINE BASED ZnO-Al 2 O 3 NANOCOMPOSITE Baiju V 1, Dedhila Devadathan 2, Biju R 3, Raveendran R 4 Nanoscience Research Laboratory, Department of Physics, Sree

More information

On the possibility to create a prototype of laser system for space debris movement control on the basis of the 3-meter telescope.

On the possibility to create a prototype of laser system for space debris movement control on the basis of the 3-meter telescope. OJC «RPC «Precision Systems and Instruments», Moscow, Russia A. Alexandrov, V. Shargorodskiy On the possibility to create a prototype of laser system for space debris movement control on the basis of the

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

Transmissive Final Optic for Laser IFE

Transmissive Final Optic for Laser IFE Transmissive Final Optic for Laser IFE S. A. Payne, J. F. Latkowski, A. Kubota, M. J. Caturla, S. N. Dixit, and J. A. Speth Lawrence Livermore National Laboratory April 4, 2002 HAPL Program Workshop General

More information

NSTX Plasma-Material Interface (PMI) Probe and supporting experiments

NSTX Plasma-Material Interface (PMI) Probe and supporting experiments NSTX Plasma-Material Interface (PMI) Probe and supporting experiments J.P. Allain 1,2, C.N. Taylor 1, B. Heim 1,3 PPPL Collaborators: C.H. Skinner, H.W. Kugel, R. Kaita, A.L. Roquemore 1 Purdue University,

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis GE Global Research Vincent S. Smentkowski, Cameron Moore and Hong Piao 04GRC955, October 04 Public (Class ) Technical Information Series

More information

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Lithography R E T I C L E The Crystal Growth and Reticle Degradation Exposé Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Brian J. Grenon, Grenon Consulting, Incorporated

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015 Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance February 22, 2015 1 Participation / Contacts Exogenesis Corporation, ANAB Technology Sean Kirkpatrick, Son

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2014 Supporting Information Sustainable photocatalytic production of hydrogen

More information

Real-time electron-spin-resonance measurement of plasma induced surface interactions

Real-time electron-spin-resonance measurement of plasma induced surface interactions Realtime electronspinresonance measurement of plasma induced surface interactions 1 Naoya Sumi, 1 Kenji Ishikawa, 2 Hideo Horibe, 2 Akihiko Kono, 1 Keigo Takeda, 1 Hiroki Kondo, 1,3 Makoto Sekine and 1,3

More information

Determining cure profile and post-cure shrinkage of photopolymers using UV accessory on a rotational rheometer

Determining cure profile and post-cure shrinkage of photopolymers using UV accessory on a rotational rheometer Determining cure profile and post-cure shrinkage of photopolymers using UV accessory on a rotational rheometer RHEOLOGY AND VISCOSITY Introduction A photopolymer usually consists of monomers, oligomers

More information

1. DuPont Co. Central Research, E , Wilmington DE DuPont Photomasks Inc., 4 Finance Dr., Danbury CT, ABSTRACT

1. DuPont Co. Central Research, E , Wilmington DE DuPont Photomasks Inc., 4 Finance Dr., Danbury CT, ABSTRACT header for SPIE use Materials Design and Development of Fluoropolymers for Use as Pellicles in 157nm Photolithography Roger H. French a, Joseph Gordon b, David J. Jones a,m.f.lemon a,robertc.wheland a,

More information

arxiv: v1 [physics.acc-ph] 1 Apr 2015

arxiv: v1 [physics.acc-ph] 1 Apr 2015 Preprint typeset in JINST style - HYPER VERSION arxiv:1504.00130v1 [physics.acc-ph] 1 Apr 2015 The system for delivery of IR laser radiaton into high vacuum E.V. Abakumova a, M.N. Achasov a,b,, A.A. Krasnov

More information

Enhances Photoelectrochemical Water Oxidation

Enhances Photoelectrochemical Water Oxidation -Supporting Information- Exposure of WO 3 Photoanodes to Ultraviolet Light Enhances Photoelectrochemical Water Oxidation Tengfei Li, Jingfu He, Bruno Peña, Curtis P. Berlinguette* Departments of Chemistry

More information

Gregory L. Fisher a Nuclear Materials Technology (NMT-16), Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, New Mexico 87545

Gregory L. Fisher a Nuclear Materials Technology (NMT-16), Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, New Mexico 87545 Role of low-level impurities and intercalated molecular gases in the particle radiolysis of polytetrafluoroethylene examined by static time-of-flight secondary-ion-mass spectrometery Gregory L. Fisher

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Supporting Information:

Supporting Information: Supporting Information: Enhancing Visible Light Photo-Oxidation of Water with TiO 2 Nanowire Arrays via Co-treatment with H 2 and NH 3 : Synergistic Effects between Ti 3+ and N. Son Hoang, Sean P. Berglund,

More information

Supporting Information s for

Supporting Information s for Supporting Information s for # Self-assembling of DNA-templated Au Nanoparticles into Nanowires and their enhanced SERS and Catalytic Applications Subrata Kundu* and M. Jayachandran Electrochemical Materials

More information

Nanoscale IR spectroscopy of organic contaminants

Nanoscale IR spectroscopy of organic contaminants The nanoscale spectroscopy company The world leader in nanoscale IR spectroscopy Nanoscale IR spectroscopy of organic contaminants Application note nanoir uniquely and unambiguously identifies organic

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Waseda University Research Institute for Science and Engineering Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Research Institute for Science

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev Characterization of Secondary Emission Materials for Micro-Channel Plates S. Jokela, I. Veryovkin, A. Zinovev Secondary Electron Yield Testing Technique We have incorporated XPS, UPS, Ar-ion sputtering,

More information

Supporting Information

Supporting Information Supporting Information Dynamic Interaction between Methylammonium Lead Iodide and TiO 2 Nanocrystals Leads to Enhanced Photocatalytic H 2 Evolution from HI Splitting Xiaomei Wang,, Hong Wang,, Hefeng Zhang,,

More information

Analysis By Time-Of-Flight Secondary Ion Mass Spectroscopy or Nuclear Products In Hydrogen Penetration Through Palladium

Analysis By Time-Of-Flight Secondary Ion Mass Spectroscopy or Nuclear Products In Hydrogen Penetration Through Palladium Yamada, H., et al. Analysis By Time-Of-Flight Secondary Ion Mass Spectroscopy For Nuclear Products In Hydrogen Penetration Through Palladium. in Tenth International Conference on Cold Fusion. 2003. Cambridge,

More information

HOW ADVANCED PYROMETERS INCREASE THERMAL PROCESS REPEATABILITY AND PRODUCT QUALITY

HOW ADVANCED PYROMETERS INCREASE THERMAL PROCESS REPEATABILITY AND PRODUCT QUALITY HOW ADVANCED PYROMETERS INCREASE THERMAL PROCESS REPEATABILITY AND PRODUCT QUALITY Accurate temperature measurement is key for controlling the stability and repeatability of many temperature-critical processes.

More information

Supporting Information for

Supporting Information for Supporting Information for Self-assembled Graphene Hydrogel via a One-Step Hydrothermal Process Yuxi Xu, Kaixuan Sheng, Chun Li, and Gaoquan Shi * Department of Chemistry, Tsinghua University, Beijing

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

The metallisation onto non conductive surfaces using chlorophyll: where nature meets electronics

The metallisation onto non conductive surfaces using chlorophyll: where nature meets electronics The metallisation onto non conductive surfaces using chlorophyll: where nature meets electronics Prof. Marc Desmulliez Heriot-Watt University, Edinburgh Scotland, United Kingdom m.desmulliez@hw.ac.uk Outline

More information

Absorption Fine Structure Spectroscopy for the Elaboration of Chemistry in Lignocellulosics

Absorption Fine Structure Spectroscopy for the Elaboration of Chemistry in Lignocellulosics 2006 International Conference on Nanotechnology, April 26-28, 2006 Atlanta, GA The Use of C-Near C Edge X-Ray X Absorption Fine Structure Spectroscopy for the Elaboration of Chemistry in Lignocellulosics

More information

Results on a-c tubes subjected to synchrotron irradiation

Results on a-c tubes subjected to synchrotron irradiation Results on a-c tubes subjected to synchrotron irradiation V. Baglin, P. Chiggiato, P. Costa-Pinto, B. Henrist (CERN, Geneva) V. Anashin, D. Dorokhov. A. Semenov, A. Krasnov, D. Shwartz, A. Senchenko (,

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

A COMPUTER PROGRAM FOR THE DECONVOLUTION OF THERMOLUMINESCENCE GLOW CURVES K. S. Chung 1,, H. S. Choe 1, J. I. Lee 2, J. L. Kim 2 and S. Y.

A COMPUTER PROGRAM FOR THE DECONVOLUTION OF THERMOLUMINESCENCE GLOW CURVES K. S. Chung 1,, H. S. Choe 1, J. I. Lee 2, J. L. Kim 2 and S. Y. Radiation Protection Dosimetry (200), Vol. 11, No. 1, pp. 3 39 doi:10.1093/rpd/nci073 A COMPUTER PROGRAM FOR THE DECONVOLUTION OF THERMOLUMINESCENCE GLOW CURVES K. S. Chung 1,, H. S. Choe 1, J. I. Lee

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

TITLE: Air Kerma Primary Standard: Experimental and Simulation Studies on Cs-137

TITLE: Air Kerma Primary Standard: Experimental and Simulation Studies on Cs-137 TITLE: Air Kerma Primary Standard: Experimental and Simulation Studies on Cs-137 AUTHORS: J. Cardoso, L. Santos, C. Oliveira ADRESS: Instituto Tecnológico e Nuclear Estrada Nacional 10; 2686-953 Sacavém;

More information

Kentaro INOUE. Introduction. Measurement principle (membrane polarographic method)

Kentaro INOUE. Introduction. Measurement principle (membrane polarographic method) FFeature Article Article Performance of the Dissolved Oxygen Monitor Used in the Semiconductor Wet Process; Low Concentration Monitoring, High Temperature, Small Amount of Sampling Volume, Chemical Resistance

More information

Design Considerations for a Variable Angle Absolute Reflectance Accessory For the LAMBDA 950/850/650 UV/Vis/NIR and UV/Vis Spectrophotometers

Design Considerations for a Variable Angle Absolute Reflectance Accessory For the LAMBDA 950/850/650 UV/Vis/NIR and UV/Vis Spectrophotometers Design Considerations for a Variable Angle Absolute Reflectance Accessory For the LAMBDA 950/850/650 UV/Vis/NIR and UV/Vis Spectrophotometers UV/VIS AND UV/VIS/NIR SPECTROSCOPY A P P L I C A T I O N N

More information

Oxygen Incorporation in Rubrene Single Crystals

Oxygen Incorporation in Rubrene Single Crystals Oxygen Incorporation in Rubrene Single Crystals Daniel D. T. Mastrogiovanni 1, Jeff Mayer 2, Alan S. Wan 2, Aleksey Vishnyakov 3, Alexander V. Neimark 3, Vitaly Podzorov 4,5, Leonard C. Feldman 4,5, and

More information

Metal-organic frameworks (MOFs) as precursors towards TiO x /C. composites for photodegradation of organic dye

Metal-organic frameworks (MOFs) as precursors towards TiO x /C. composites for photodegradation of organic dye Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2014 Supplementary Information Metal-organic frameworks (MOFs) as precursors towards TiO x /C composites

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

X-ray induced singlet oxygen generation by. nanoparticle-photosensitizer conjugates for. photodynamic therapy: determination of singlet

X-ray induced singlet oxygen generation by. nanoparticle-photosensitizer conjugates for. photodynamic therapy: determination of singlet X-ray induced singlet oxygen generation by nanoparticle-photosensitizer conjugates for photodynamic therapy: determination of singlet oxygen quantum yield Sandhya Clement 1, Wei Deng 1, Elizabeth Camilleri

More information

Snail Trail Formation Mechanism

Snail Trail Formation Mechanism Snail Trail Formation Mechanism Modeling and Accelerated Aging Tests May 25, 2016 Dr. Jing Fan Research Scientist DuPont Electronics & Communications For over 40 years our material innovations have led

More information

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

Ion Beam Induced Luminescence (IBIL) for scintillator analysis

Ion Beam Induced Luminescence (IBIL) for scintillator analysis Ion Beam Induced Luminescence (IBIL) for scintillator analysis Alberto Quaranta Università di Trento Dipartimento dei Materiali e delle Tecnologie Industriali (DIMTI) Laboratori Nazionali di Legnaro -

More information

ELECTROCHROMIC RADIATORS FOR MICROSPACECRAFT THERMAL CONTROL

ELECTROCHROMIC RADIATORS FOR MICROSPACECRAFT THERMAL CONTROL ELECTROCHROMIC RADIATORS FOR MICROSPACECRAFT THERMAL CONTROL Anthony Paris Kevin Anderson Jet Propulsion Laboratory Prasanna Chandrasekhar, Brian Zay, Terrance McQueeney Ashwin-Ushas Corporation, Inc.,

More information

0DVM1+ fm(uw Odin* /I I /)

0DVM1+ fm(uw Odin* /I I /) 0DVM1+ fm(uw Odin* /I I /) REPORT DOCUMENTATION l*age Public reportina bunten far this collection of infoimason is estimated to average 1 hour per response, indudma the»meter revwwi datansadad ar«leompl«ttivjardrev(«vrfng»tecdl««lo«of

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

24th Symposium on Plasma Science for Materials (SPSM-24) - Keynote

24th Symposium on Plasma Science for Materials (SPSM-24) - Keynote 24th Symposium on Plasma Science for Materials (SPSM-24) - Keynote Electron-Spin Resonance (ESR) Analysis of Plasma-Surface Interaction Kenji Ishikawa, Naoya Sumi, *Akihiko Kono, *ideo oribe, Keigo Takeda,

More information

PHI Model 06-C60 Sputter Ion Gun

PHI Model 06-C60 Sputter Ion Gun PHI Model 6-C6 Sputter Ion Gun Introduction: Physical Electronics introduced the model 6-C6 C 6 sputter ion gun and its unique capabilities for surface cleaning and depth profiling of soft materials (figure

More information

Chapter 30 X Rays GOALS. When you have mastered the material in this chapter, you will be able to:

Chapter 30 X Rays GOALS. When you have mastered the material in this chapter, you will be able to: Chapter 30 X Rays GOALS When you have mastered the material in this chapter, you will be able to: Definitions Define each of the following terms, and use it in an operational definition: hard and soft

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Accurate Measurement of Transmittance and Reflectance for Engineering Applications

Accurate Measurement of Transmittance and Reflectance for Engineering Applications Accurate Measurement of Transmittance and Reflectance for Engineering Applications Dr. Chen Fangzhi Laboratory Manager Façade & Roof Materials Testing Laboratory OTM Solutions Pte Ltd PerkinElmer INTour

More information

Applications of Micro-Area Analysis Used by JPS-9200 X-ray Photoelectron Spectrometer

Applications of Micro-Area Analysis Used by JPS-9200 X-ray Photoelectron Spectrometer Applications of Micro-Area Analysis Used by JPS-9200 X-ray Photoelectron Spectrometer Yoshitoki Iijima Application & Research Center, JEOL Ltd. Introduction Recently, with advances in the development of

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Repeatability of Spectral Intensity Using an Auger Electron Spectroscopy Instrument Equipped with a Cylindrical Mirror Analyzer

Repeatability of Spectral Intensity Using an Auger Electron Spectroscopy Instrument Equipped with a Cylindrical Mirror Analyzer A. Kurokawa et al. Repeatability of Spectral Intensity Using an Auger lectron Spectroscopy Instrument quipped with a Cylindrical Mirror Analyzer Paper Repeatability of Spectral Intensity Using an Auger

More information

PROCESS ECONOMICS PROGRAM

PROCESS ECONOMICS PROGRAM PROCESS ECONOMICS PROGRAM SRI INTERNATIONAL Abstract Process Economics Program Report No. 159A SPECIALTY PLASTIC FILMS (September 1988) Menlo Park, California 94025 This report covers the technologies

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Synthesis of nano-sized anatase TiO 2 with reactive {001} facets using lamellar protonated titanate as precursor

Synthesis of nano-sized anatase TiO 2 with reactive {001} facets using lamellar protonated titanate as precursor Supporting Information Synthesis of nano-sized anatase TiO 2 with reactive {001} facets using lamellar protonated titanate as precursor Liuan Gu, Jingyu Wang *, Hao Cheng, Yunchen Du and Xijiang Han* Department

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

The deposition of these three layers was achieved without breaking the vacuum. 30 nm Ni

The deposition of these three layers was achieved without breaking the vacuum. 30 nm Ni Transfer-free Growth of Atomically Thin Transition Metal Disulfides using a Solution Precursor by a Laser Irradiation Process and their Application in Low-power Photodetectors Chi-Chih Huang 1, Henry Medina

More information

Flanged Cryostats.

Flanged Cryostats. The liquid nitrogen cryostat is the most important and least appreciated component in assuring reliable long term performance of a germanium detector system. CANBERRA manufactures its own cryostats to

More information

ToF-SIMS or XPS? Xinqi Chen Keck-II

ToF-SIMS or XPS? Xinqi Chen Keck-II ToF-SIMS or XPS? Xinqi Chen Keck-II 1 Time of Flight Secondary Ion Mass Spectrometry (ToF-SIMS) Not ToF MS (laser, solution) X-ray Photoelectron Spectroscopy (XPS) 2 3 Modes of SIMS 4 Secondary Ion Sputtering

More information

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective Jeff Bruner Compliance Engineering Project Manager KLA-Tencor RAPID Division Topics

More information

Structure-Property Investigation of Functional Resins for UV-Curable Gaskets

Structure-Property Investigation of Functional Resins for UV-Curable Gaskets Structure-Property Investigation of Functional Resins for UV-Curable Gaskets Joel D. Schall and Eric Edo-Hernandez Henkel Corporation Rocky Hill, CT USA Introduction The main purpose of this work was to

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off. Photoresist Profile 4-15 Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off undercut overcut Overcut: positive slope, common to positive resist,

More information

High-Performance Semiconducting Polythiophenes for Organic Thin Film. Transistors by Beng S. Ong,* Yiliang Wu, Ping Liu and Sandra Gardner

High-Performance Semiconducting Polythiophenes for Organic Thin Film. Transistors by Beng S. Ong,* Yiliang Wu, Ping Liu and Sandra Gardner Supplementary Materials for: High-Performance Semiconducting Polythiophenes for Organic Thin Film Transistors by Beng S. Ong,* Yiliang Wu, Ping Liu and Sandra Gardner 1. Materials and Instruments. All

More information

METALLIC SURFACES UNDER INTERPLANETARY MEDIUM DEGRADATION MECHANISMS AND PROTECTION POSSIBILITIES ,

METALLIC SURFACES UNDER INTERPLANETARY MEDIUM DEGRADATION MECHANISMS AND PROTECTION POSSIBILITIES , METALLIC SURFACES UNDER INTERPLANETARY MEDIUM DEGRADATION MECHANISMS AND PROTECTION POSSIBILITIES Maciej Sznajder (1,2), Ulrich Geppert (1,3) (1) DLR Institute of Space Systems, Robert-Hooke-Straße 7,

More information

Radiation Protection Considerations for the Cryogenic In-Vacuum Undulator of the EMIL Project at BESSY

Radiation Protection Considerations for the Cryogenic In-Vacuum Undulator of the EMIL Project at BESSY Radiation Protection Considerations for the Cryogenic In-Vacuum Undulator of the EMIL Project at BESSY Yvonne Bergmann, Klaus Ott Helmholtz- Zentrum Berlin BESSY II Radiation Protection Department yvonne.bergmann@helmholtz-berlin.de

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

DETERMINATION OF THE SERVICE LIFE FOR THE EXCORE NEUTRON DETECTOR CABLES IN SEABROOK STATION

DETERMINATION OF THE SERVICE LIFE FOR THE EXCORE NEUTRON DETECTOR CABLES IN SEABROOK STATION DETERMINATION OF THE SERVICE LIFE FOR THE EXCORE NEUTRON DETECTOR CABLES IN SEABROOK STATION John R. White and Lee H. Bettenhausen Chemical and Nuclear Engineering Department University of Massachusetts-Lowell,

More information

The Use of the ACQUITY QDa Detector for a Selective, Sensitive, and Robust Quantitative Method for a Potential Genotoxic Impurity

The Use of the ACQUITY QDa Detector for a Selective, Sensitive, and Robust Quantitative Method for a Potential Genotoxic Impurity The Use of the ACQUITY QDa Detector for a Selective, Sensitive, and Robust Quantitative Method for a Potential Genotoxic Impurity Janet Hammond Waters Corporation, Wilmslow, UK APPLICATION BENEFITS High

More information

Positron Probe Microanalyzer (PPMA) facilities at AIST

Positron Probe Microanalyzer (PPMA) facilities at AIST Positron Probe Microanalyzer (PPMA) and other accelerator based slow positron facilities at AIST B. E. O Rourke, N. Oshima, A. Kinomura, T. Ohdaira and R. Suzuki National Institute of Advanced Industrial

More information

RESEARCH HIGHLIGHTS. Polymer Photonic Crystals by Self-Assembly Raymond Weitekamp

RESEARCH HIGHLIGHTS. Polymer Photonic Crystals by Self-Assembly Raymond Weitekamp RESEARCH HIGHLIGHTS From the Resnick Sustainability Institute Graduate Research Fellows at the California Institute of Technology Polymer Photonic Crystals by Self-Assembly Global Significance Urbanization

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Thick-wall, Liquid-Filled Quartz Capillaries for Scintillation and Wavelength Shifting Applications

Thick-wall, Liquid-Filled Quartz Capillaries for Scintillation and Wavelength Shifting Applications for Scintillation and Wavelength Shifting Applications R. Ruchti, 1 B. Baumbaugh, N. Dev, B. Dolezal, C. Jessop, C. Mohs, N. Siwietz, J. Taylor, J. Twaddle and M. Vigneault University of Notre Dame Notre

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

Newsletter 1/2014. Super-polished copper a new substrate material. Fabrication of neutron collimators launched

Newsletter 1/2014. Super-polished copper a new substrate material. Fabrication of neutron collimators launched Polarizing supermirror m = 5.5 Next generation of metallic guides welded assembly Fabrication of neutron collimators launched Super-polished copper a new substrate material Partnership Next generation

More information

The World s Smallest Extreme Laboratories:

The World s Smallest Extreme Laboratories: The World s Smallest Extreme Laboratories: Probing QED with Highly Charged Ions. Joan Marler Clemson University Outline About HCIs About Ion trapping Precision spectroscopy with HCIs Highly Charged Ions

More information

Synthesis of a highly conductive and large surface area graphene oxide hydrogel and its use in a supercapacitor

Synthesis of a highly conductive and large surface area graphene oxide hydrogel and its use in a supercapacitor Electronic Supplementary Information for: Synthesis of a highly conductive and large surface area graphene oxide hydrogel and its use in a supercapacitor Van Hoang Luan, a Huynh Ngoc Tien, a Le Thuy Hoa,

More information

Name the region of the electromagnetic radiation emitted by the laser. ...

Name the region of the electromagnetic radiation emitted by the laser. ... 1. An argon-laser emits electromagnetic radiation of wavelength 5.1 10 7 m. The radiation is directed onto the surface of a caesium plate. The work function energy for caesium is 1.9 ev. (i) Name the region

More information

Background Information:

Background Information: Measurement of Light in Radiation Damaged Quartz Bars for Q weak Katie Kinsley Advisors: Dave Mack, Julie Roche Thomas Jefferson National Accelerator Facility Newport News, VA Summer 2007 (final edit Sept

More information

Multi-element process analyzer

Multi-element process analyzer Multi-element process analyzer Elemental analysis by X-ray fluorescence Compact multi-element process analyzer for liquid streams or f ixed position web applications Featuring advanced third generation

More information