Evaluation of Mo/Si multilayer for EUVL mask blank
|
|
- August Brian Phelps
- 6 years ago
- Views:
Transcription
1 Evaluation of Mo/Si multilayer for mask blank H. Yamanashi, T. Ogawa, H. Hoko, B. T. Lee, E. Hoshino, M. Takahashi, N. Hirano, A. Chiba, H. Oizumi, I. Nishiyama, and S. Okazaki Association of Super-Advanced Electronics Technologies EUV Lithography Laboratory Contents Background EUV-reflectivity measurement system Multilayer deposition system Evaluation of Mo/Si multilayer Summary
2 Background high-precision reflectometry of multilayer [dominant factors] wavelength calibration resolution elimination of stray light accuracy and repeatability of detector noise (detector or AMP...) goniometer construction of ASET beamline for EUV reflectometery resolution of grating repeatability of detector multilayer fabrication [dominant factors] high-reflectivity low-defect uniformity development of multilayer deposition system
3 ASET Beamline 10.5m Source: (NTT Super ALIS) SR light mirror 2 slit mirror grating slit mirror reflectometer
4 Reflectometer of Beamline 2θarm and detector (Si photodiode) SR scan modes 1) λscan θscan,2θscan 2) θ-2θscan 3) line scan (θ,2θ,λ fixed) sample mask blanks/mirror diameter: 200mmφ thickness: 50mm measurement modes reflectivity(multilayers) transmission(resist film) θarm and sample stage specification reproducability (Reflectivity) < R ±0.5%
5 EUV Reflectivity Measurement Flow Super-ALIS Io measurement Si photodiode(io) mirror Ring current(io) 13nm grating I measurement Si photodiode(i) Ring current(i) Super-ALIS Mo/Si multilayer mirror 13nm grating Reflectivity= (Si photodiode(i) /Ring current(i)) (Si photodiode(io) /Ring current(io))
6 Repeatability of Reflectivity-measurement Caused by Detector standard deviation/average value 1 Si photodiode 0.051% 2 ring current 0.016% condition ring current photodiode+amp Io measurement 350 ma 10.0 V I measurement 345 ma 5.91 V repeatability (σ) : 0.060% < 0.5% Specification repeatability
7 Spectral Resolution Spot diagram at exit slit λ=13nm Measured reflectivity as a function of resolution E/ΔE=4194 Reflectivity (%) λ=13.5nm R(%) E/ E This grating has enough resolution to measure multilayer reflectivity
8 Multilayer Deposition System [top-view] SMIF-POD Helicon cathode sputtering chamber [side-view] rotation wafer wafer robot wafer Sputter-up Helicon cathode L/UL chamber aligner transfer chamber cathode cathode
9 sputtering condition Mo Si Ar Pressure 0.20 Pa 0.07 Pa TEM cross section for (Mo/Si) multilayer surface Measured hard X-ray curve of (Mo/Si) multilayer 1.E+8 Power deposition rate 500W 1kW (DC) (RF) 0.4A /s 0.4A /s Intensity(a.u.) 1.E+6 1.E+4 1.E+2 substrate Si wafer (200mm) substrate 1.E θ (deg.) dark:mo layer light:si layer
10 EUV Reflectivity of (Mo/Si) Multilayer Reflectivity (%) θ /2θ =3 / wavelength (nm) Reflectivity (%) λ =13.2nm θ /2θ =3 /6 R=59.6±1.1% r=0-87mm R=60.2±0.3% r=0-60mm distance from the center, r (mm)
11 Supersonic Hydro-cleaning Technique Liquid droplets impact into the substrate. Carrier gas Cleaning liquid Droplets (N 2 ) (deionized water) Nozzle designed for supersonic flow Substrate Microscopic phenomenon Side jet Droplet Side jet Twin-fluid supersonic flow Substrate Substrate High-speed side jets flow along the surface. This technique was developed at ASET SPC Laboratory. 5/18
12 Results before cleaning after cleaning Reflectivity (%) wavelength (nm)
13 Summary EUV reflectivity measurement system can be applied to the evaluation of Mo/Si multilayer for mask blanks and mask fabrication process. EUV reflectivity of Mo/Si multilayer which was deposited by helico sputtering was measured using ASET Beamline. reflectivity : R > 60% R=59.6±1.1% r=0- in-plane 87mm distribution R=60.2±0.3% r=0-60mm
14 Acknowledgements This work is performed under the management of ASET in the program of the Ministry of International Trade and Industry (MITI). ASET is supported by the New Energy and Industrial Technology Development Organization (NEDO). The design and construction of ASET beamline and sputtering system was supported by Shimadzu Corp. and ULVAC JAPAN Ltd., respectively.
Measurement of EUV scattering from Mo/Si multilayer mirrors
Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)
More informationVisualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source
3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi
More informationCustomized EUV optics made by optix fab
Customized EUV optics made by optix fab Information about optix fab product portfolio Torsten Feigl Jena, January 2015 Outline Introduction Infrastructure EUV multilayer optics activities Product highlights
More informationSiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication
SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication Florian Letzkus *a, Joerg Butschke a, Corinna Koepernik a, Christian Holfeld b, Josef Mathuni c, Lutz Aschke d, Frank Sobel d a
More informationReticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers
v b Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers S P Vernon, D R Kania, P A Kearney, R A Levesque Lawrence Livermore National
More informationEvaluation at the intermediate focus for EUV Light Source
Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association
More informationDevelopment of a Mask-Scan EB Mask Writing System
Development of a Mask-Scan EB Mask Writing System Munehiro OGASAWARA, Shinsuke NISHIMURA, Kiminobu AKENO, Soichiro. MITSUI, Mitsuko SHIMIZU, Hideo KUSAKABE, and Toru TOJO EUV Process Technology Research
More informationAccelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015
Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance February 22, 2015 1 Participation / Contacts Exogenesis Corporation, ANAB Technology Sean Kirkpatrick, Son
More informationEUV Reflectivity measurements on Acktar Sample Magic Black
Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion
More informationSliced multilayer gratings (SMG) as dispersive elements for the soft X-rays
Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays E. A. Bugaev, V.A. Chirkov, R.M. Feshchenko*, V.P. Petukhov, A.V. Vinogradov, D.L. Voronov, V.A. Tokarev International Conference
More informationEUV-Technology with Discharge EUV-Lamp"
EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer
More informationDesign of multilayer X-ray mirrors and systems
Design of multilayer X-ray mirrors and systems T. Holz*, R. Dietsch*, S. Braun**, A. Leson** * AXO DRESDEN GmbH, Germany ** Fraunhofer IWS Dresden, Germany Introduction CHARACTERISTICS 1D periodicity of
More informationEUV lithography and Source Technology
EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of
More informationLaser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application
Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser
More informationMultilayer Interference Coating, Scattering, Diffraction, Reflectivity
Multilayer Interference Coating, Scattering, Diffraction, Reflectivity mλ = 2d sin θ (W/C, T. Nguyen) Normal incidence reflectivity 1..5 1 nm MgF 2 /Al Si C Pt, Au 1 ev 1 ev Wavelength 1 nm 1 nm.1 nm Multilayer
More informationHigh Accuracy EUV Reflectometry and Scattering at the Advanced Light Source
High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994
More informationEUV and Soft X-Ray Optics
EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β
More informationConstruction of an extreme ultraviolet polarimeter based on highorder harmonic generation
Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation N. Brimhall *, J. C. Painter, M. Turner, S. V. Voronov, R. S. Turley, M. Ware, and J. Peatross Department of Physics
More informationSearch for Nuclear Reaction Products in Gas Phase Experiments - Deuterium Permeation and Absorption -
Session 3 O_2 Nuclear Measurements (I) Search for Nuclear Reaction Products in Gas Phase Experiments - Deuterium Permeation and Absorption - A. Kitamura 1, Y. Sasaki 1, Y. Miyoshi 1, Y. Yamaguchi 1, A.
More informationApplication of the GD-Profiler 2 to the PV domain
Application of the GD-Profiler 2 to the PV domain GD Profiler 2 RF GDOES permits to follow the distribution of the elements as function of depth. This is an ultra fast characterisation technique capable
More informationPreliminary characterization of first multilayer mirrors for the soft X-ray water-window
Preliminary characterization of first multilayer mirrors for the soft X-ray water-window Gianfelice Cinque, Augusto Marcelli, Antonio Grilli and Agostino Raco INFN, Laboratori Nazionali di Frascati, via
More informationNanosphere Lithography
Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low
More informationEUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development. Paola Zuppella
EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development Paola Zuppella Padova Palazzo Bo Anatomical theatre St Anthony Cathedral Institutions University of
More informationFiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White
Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology
More informationMultilayer coating facility for the HEFT hard X-ray telescope
Multilayer coating facility for the HEFT hard X-ray telescope Carsten P. Jensen a, Finn E. Christensen a, Hubert Chen b, Erik B. W.Smitt a, Eric Ziegler c a Danish Space Research Institute (Denmark); b
More informationChemical State Analysis of SiO 2 /Si by Wavelength-Dispersive X-Ray Fluorescence
Chemical State Analysis of SiO 2 /Si by Wavelength-Dispersive X-Ray Fluorescence Shinji OZAKI, Matsushita Technoresearch Inc. ozaki.s@jp.panasonic.com The chemical states of a SiO 2 /Si govern the conductivity
More informationHigh NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH
High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single
More informationOverview of EUV Lithography and EUV Optics Contamination
Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,
More informationEUREKA: A new Industry EUV Research Center at LBNL
EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational
More informationWe published the text from the next page.
Title:Shedding light on EUV mask inspection Authors:Kazunori Seki, Karen Badger, Emily Gallagher, Toshio Konishi, Gregory McIntyre Publisher:Photomask Japan 2012(SPIE) Citation:Kazunori Seki, Karen Badger,
More informationEarly Development of Dispersive X-Ray Absorption Spectrometer and Recent Extension of Dispersive Optics to Quick X-ray Reflectometory
Early Development of Dispersive X-Ray Absorption Spectrometer and Recent Extension of Dispersive Optics to Quick X-ray Reflectometory T. Matsushita, Photon Factory KEK Tsukuba, Ibaraki, Japan outline laboratory
More informationPadraig Dunne, UCD School of Physics Dublin, Ireland.
Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative
More informationStructural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence
Structural Characterization of Giant Magnetoresistance Multilayers with New Grazing Incidence X-ray Fluorescence vnaoki Awaji (Manuscript received December 13, 21) We have developed a grazing incidence
More informationX-Ray Interaction with Matter: Absorption, Scattering and Refraction
X-Ray Interaction with Matter: Absorption, Scattering and Refraction David Attwood University of California, Berkeley 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β
More informationSample Alignment (2D detector) Part
Sample Alignment (2D detector) Part Contents Contents 1 How to set Part conditions...1 1.1 Setting conditions... 1 1.2 Customizing scan conditions and slit conditions... 6 2 Sample alignment sequence...13
More informationFINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS
Technical Paper Journal of Photopolymer Science and Technology Volume 6, Number 4(1993) 617-624 1993TAPJ FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS YASUO NARA, YosHIHto SUGITA,
More informationTakeo Watanabe Center for EUVL, University of Hyogo
Soft X-ray Absorption Spectroscopy using SR for EUV Resist Chemical Reaction Analysis Takeo Watanabe Center for EUVL, University of Hyogo Outline 1) Background 2) Princple of X-ray absorption spectroscopy
More informationTechniques for directly measuring the absorbance of photoresists at EUV wavelengths
Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,
More informationMS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF
2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)
More informationSecondary ion mass spectrometry (SIMS)
Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to
More informationResearch Article Fabrication and Evaluation of Large Area Mo/Si Soft X-Ray Multilayer Mirrors at Indus SR Facilities
Advances in Optical Technologies Volume 2012, Article ID 976868, 8 pages doi:10.1155/2012/976868 Research Article Fabrication and Evaluation of Large Area Mo/Si Soft X-Ray Multilayer Mirrors at Indus SR
More informationCurrent development status of Shin-Etsu EUV pellicle
Current development status of Shin-Etsu EUV pellicle Advanced Functional Materials Research Center 1 Why Pellicle for EUV Lithography? Extensive studies on particle addition during reticle transfer have
More informationSTANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES
Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft
More informationActinic review of EUV masks: First results from the AIMS EUV system integration
Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein
More informationAblation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source
1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,
More informationAuger Electron Spectroscopy (AES)
1. Introduction Auger Electron Spectroscopy (AES) Silvia Natividad, Gabriel Gonzalez and Arena Holguin Auger Electron Spectroscopy (Auger spectroscopy or AES) was developed in the late 1960's, deriving
More informationSoft X-ray multilayer mirrors by ion assisted sputter deposition
Soft X-ray multilayer mirrors by ion assisted sputter deposition Valentino Rigato INFN Laboratori Nazionali di Legnaro Bologna, September 21, 2010 Source: INFN-LNL-2009 V. RIGATO 1 SIF- Bologna September
More informationPDF created with FinePrint pdffactory trial version
The Optical Constants of Sputtered U and a-si at 30.4 and 58.4 nm. M. B. Squires, David D. Allred and R. Steven Turley Department of Physics and Astronomy, Brigham Young University, Provo, UT. Introduction
More informationA neutron polariser based on magnetically remanent Fe/Si supermirrors
Jochen Stahn Laboratorium für Neutronenstreuung ETH Zürich & Paul Scherrer Institut A neutron polariser based on magnetically remanent Fe/Si supermirrors ILL, Grenoble 8. 0. 2006 neutron optics group PSI:
More informationEUV and Soft X-Ray Optics
EUV and Soft X-Ray Optics David Attwood University of California, Berkeley and Advanced Light Source, LBNL Cheiron School October 2010 SPring-8 1 The short wavelength region of the electromagnetic spectrum
More informationA short pulsed laser cleaning system for EUVL tool
A short pulsed laser cleaning system for EUVL tool Masami Yonekawa, Hisashi Namba and Tatsuya Hayashi Nanotechnology & Advanced System Research Laboratories, Canon inc. 23-10, Kiyohara-Kogyodanchi, Utsunomiya-shi,
More informationFEASIBILITY OF IN SITU TXRF
FEASIBILITY OF IN SITU TXRF A. ngh 1, P. Goldenzweig 2, K. Baur 1, S. Brennan 1, and P. Pianetta 1 1. Stanford Synchrotron Radiation Laboratory, Stanford, CA 94309, US 2. Binghamton University, New York,
More informationDan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016
ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner
More informationCleaning of Silicon-Containing Carbon Contamination
RC-P4 Cleaning of Silicon-Containing Carbon Contamination Toshihisa Anazawa, Noriaki Takagi, Osamu Suga, Iwao Nishiyama MIRAI-Semiconductor Leading Edge Technologies, Inc. Koichi Yamawaki, Hirotsugu Yano,
More informationDesign Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World
Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger
More informationSUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES
148 A p p e n d i x D SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES D.1 Overview The supplementary information contains additional information on our computational approach
More informationAtomic layer deposition of titanium nitride
Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],
More informationPlasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline
Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,
More informationPortable type TXRF analyzer: Ourstex 200TX
Excerpted from Adv. X-Ray. Chem. Anal., Japan: 42, pp. 115-123 (2011) H. Nagai, Y. Nakajima, S. Kunimura, J. Kawai Improvement in Sensitivity and Quantification by Using a Portable Total Reflection X-Ray
More informationImage Degradation from Surface Scatter in EUV Optics
Image Degradation from Surface Scatter in EUV Optics D. P. Gaines, T. P. Daly, D. G. Steams, B. LaFontaine, D. W. Sweeney, D. Fuchs This paper was prepared for submittal to the Optical Society of America
More informationAnalysis of carbon contamination on EUV mask using CSM/ ICS
Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn
More informationBroadband transmission grating spectrometer for measuring the emission spectrum of EUV sources
Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band
More informationColour Images from Compound Semiconductor Radiation Detectors Chapter 3. Alan Owens
Colour Images from Compound Semiconductor Radiation Detectors Chapter 3 Alan Owens Figure 3.2: Left: a diamond disk saw. Right: a wire saw used for cutting ingots into slices prior to detector preparation.
More informationBEUV nanolithography: 6.7 or 11 nm?
BEUV nanolithography: 6.7 or 11 nm? N. I. Chkhalo, N. N. Salashchenko Institute for physics of microstructures of RAS, Nizhny Novgorod, Russia 2013 International Workshop on EUV and Soft X-Ray Dublin Ireland
More informationInstrumentation and Operation
Instrumentation and Operation 1 STM Instrumentation COMPONENTS sharp metal tip scanning system and control electronics feedback electronics (keeps tunneling current constant) image processing system data
More informationEfficient EUV source by use of a micro-target containing tin nanoparticles
2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan
More informationSURFACE PROCESSING WITH HIGH-ENERGY GAS CLUSTER ION BEAMS
SURFACE PROCESSING WITH HIGH-ENERGY GAS CLUSTER ION BEAMS Toshio Seki and Jiro Matsuo, Quantum Science and Engineering Center, Kyoto University, Gokasyo, Uji, Kyoto 611-0011, Japan Abstract Gas cluster
More informationAMOR the time-of-flight neutron reflectometer at SINQ/PSI
PRAMANA c Indian Academy of Sciences Vol. 63, No. 1 journal of July 2004 physics pp. 57 63 AMOR the time-of-flight neutron reflectometer at SINQ/PSI MUKUL GUPTA 1, T GUTBERLET 1, J STAHN 1, P KELLER 1
More informationDevelopment of 2-Dimentional Imaging XAFS System at BL-4
Development of 2-Dimentional Imaging XAFS System at BL-4 Koichi Sumiwaka 1, Misaki Katayama 2, Yasuhiro Inada 2 1) Department of Applied Chemistry, College of Science and Engineering, Ritsumeikan, University,
More information3D Micropatterned Surface Inspired by Salvinia
Supporting information 3D Micropatterned Surface Inspired by Salvinia molesta via Direct Laser Lithography. Omar Tricinci*,, Tercio Terencio,#, Barbara Mazzolai, Nicola M. Pugno,,, Francesco Greco*,, Virgilio
More informationEUV-collector mirrors for high-power LPP sources
EUV-collector mirrors for high-power LPP sources EUV Source Workshop Torsten Feigl, Sergiy Yulin, Nicolas Benoit, Norbert Kaiser Fraunhofer IOF Jena Norbert Böwering, Oleh Khodykin, David Brandt Cymer,
More informationOutlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument
Focused ion beam instruments Outlines 1. Other components of FIB instrument 1.a Vacuum chamber 1.b Nanomanipulator 1.c Gas supply for deposition 1.d Detectors 2. Capabilities of FIB instrument Lee Chow
More informationTMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)
1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)
More informationA Straight Forward Path (Roadmap) to EUV High Brightness LPP Source
Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target
More informationEnhanced Performance of Multilayer Optics for Water Window Microscopy
Enhanced Performance of Multilayer Optics for Water Window Microscopy 2016 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Hagen Pauer, Tobias Fiedler, Marco Perske optix fab GmbH,
More informationMonitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool
Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian
More informationMICRO AND NANOPROCESSING TECHNOLOGIES
LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.
More informationPhotomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT
Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.
More informationDiffractometer. Geometry Optics Detectors
Diffractometer Geometry Optics Detectors Diffractometers Debye Scherrer Camera V.K. Pecharsky and P.Y. Zavalij Fundamentals of Powder Diffraction and Structural Characterization of Materials. Diffractometers
More informationElectrochemical fouling of dopamine and recovery of carbon electrodes
Supporting Information Electrochemical fouling of dopamine and recovery of carbon electrodes Emilia Peltola 1,2*, Sami Sainio 1, Katherine B. Holt 2, Tommi Palomäki 1, Jari Koskinen 3, Tomi Laurila 1 1
More informationSCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level
FilmTek Raising Thin Film Metrology Performance to a New Level 1 Through Silicon Via (TSV) Metrology FilmTek TM TM TSV TSV Metrology Advantages Measure high aspect ratio TSV structures (up to 30:1) Measure
More informationElectronic Supplementary Information. Experimental details graphene synthesis
Electronic Supplementary Information Experimental details graphene synthesis Graphene is commercially obtained from Graphene Supermarket (Reading, MA, USA) 1 and is produced via a substrate-free gas-phase
More informationDeveloping Instrumentation for Fabricating and Characterizing Thin Film Aluminum Mirrors
Brigham Young University BYU ScholarsArchive All Student Publications 2017-08-18 Developing Instrumentation for Fabricating and Characterizing Thin Film Aluminum Mirrors P. Claire Segura psegura@oberlin.edu
More informationShanghai Institute of Ceramics, Chinese Academy of Sciences, Dingxi, 1295, Changning,
Supporting Information for Achieving High Current Density of Perovskite Solar Cells by Modulating the Dominated Facets of Room Temperature DC Magnetron Sputtered TiO 2 Electron Extraction Layer Aibin Huang,
More informationSupporting Online Material for
www.sciencemag.org/cgi/content/full/327/5966/662/dc Supporting Online Material for 00-GHz Transistors from Wafer-Scale Epitaxial Graphene Y.-M. Lin,* C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y.
More informationNanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope
Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope Kentaro Sasaki, Keiji Ueno and Atsushi Koma Department of Chemistry, The University of Tokyo,
More informationNanoimprint Lithography
Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based
More informationLaser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements
Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research
More informationBand-gap tuning of lead halide perovskites using a sequential deposition process
Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2014 Supporting information Band-gap tuning of lead halide perovskites using
More informationSupplementary Figure 1 Detailed illustration on the fabrication process of templatestripped
Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness
More informationKavli Workshop for Journalists. June 13th, CNF Cleanroom Activities
Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes
More informationImmersed diffraction gratings for the Sentinel-5 earth observation mission. Ralf Kohlhaas
Immersed diffraction gratings for the Sentinel-5 earth observation mission Ralf Kohlhaas 10-10-2017 Introduction SRON supports earth observation satellite missions with the delivery of immersed diffraction
More information3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004
3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm
More informationReflectometry with Synchrotron Radiation
Michael Krumrey*, Levent Cibik, Andreas Fischer, Alexander Gottwald, Udo Kroth, Frank Scholze Introduction High-quality reflection measurements for the characterization of optical components, for layer
More informationTransmutation Reaction Induced by Deuterium Permeation Through Nanostructured Multi-layer Thin Film
106 Transmutation Reaction Induced by Deuterium Permeation Through Nanostructured Multi-layer Thin Film SHIGENORI TSURUGA *1 KENJI MUTA *1 YUTAKA TANAKA *2 TADASHI SHIMAZU *3 KOJI FUJIMORI *4 TAKEHIKO
More informationSupporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative
Supporting Information Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Terefe G. Habteyes, Scott Dhuey, Erin Wood, Daniel Gargas, Stefano Cabrini, P. James
More informationDevelopment and characterization of 3D semiconductor X-rays detectors for medical imaging
Development and characterization of 3D semiconductor X-rays detectors for medical imaging Marie-Laure Avenel, Eric Gros d Aillon CEA-LETI, DETectors Laboratory marie-laure.avenel@cea.fr Outlines Problematic
More informationMechanisms of Visible Photoluminescence from Size-Controlled Silicon Nanoparticles
Mat. Res. Soc. Symp. Proc. Vol. 737 23 Materials Research Society F1.5.1 Mechanisms of Visible Photoluminescence from Size-Controlled Silicon Nanoparticles Toshiharu Makino *, Nobuyasu Suzuki, Yuka Yamada,
More informationMultilayer optics for next-generation EUVL systems
Multilayer optics for next-generation EUVL systems Regina Soufli regina.soufli@llnl.gov Lawrence Livermore National Laboratory 2009 International Workshop on EUV Lithography, Honolulu, Oahu July 16, 2009
More informationDEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD
Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering
More information