Output Probability Density Functions of Logic Circuits: Modeling and Fault-Tolerance Evaluation

Size: px
Start display at page:

Download "Output Probability Density Functions of Logic Circuits: Modeling and Fault-Tolerance Evaluation"

Transcription

1 Output Probability Density Functions of Logic Circuits: Modeling and Fault-Tolerance Evaluation Milos Stanisavljevic, Alexandre Schmid and Yusuf Leblebici Microelectronic Systems Laboratory, EPFL, CH-5 Lausanne, Switzerland {milos.stanisavljevic, alexandre.schmid, Abstract The precise evaluation of the reliability of logic circuits has a significant importance in highly-defective and future nanotechnologies. It allows efficient comparison of faulttolerance techniques, and enables designs improvement with respect to their reliability figure. This paper presents a novel, accurate and scalable method for modeling the output probability density functions (PDFs) of logic circuits. Our method combines probability theory with concepts from logic synthesis and testing. The PDFs are modeled using the acquired circuit output probability of failure and PDFs of gates in the last two layers of the output cone. Unlike the existing output PDF modeling techniques, the proposed method is directly applicable to standard CMOS design. Simulation results of benchmark circuits demonstrate the accuracy of the method. Several potential applications of the proposed technique include the analysis of averaging (analog) fault-tolerant techniques, fine-grained redundancy insertion, and reliability-driven design optimization. I. INTRODUCTION CMOS scaling has been a principle which has provided the semiconductor industry with historically unprecedented gains in productivity and performance. Scaling has been the trend for decades and even though it has faced many hurdles, clever engineering solutions, new materials and new device architectures have thus far broken through such barriers enabling scaling to continue with constant or slightly slower pace in the next ten years. Due to the foreseeable limitations of CMOS technology and the promising results of various new devices operating at nanometer level, there is a worldwide attention to the research and development of new electronic devices that could be the base of this future technology. Future systems based on non-cmos nanodevices are expected to suffer from low reliability due to both permanent and transient errors [], [2]. Permanent error rate will increase due to constraints imposed by fabrication technologies [3]. Transient error rate will increase due to nondeterministic parasitic effects such as background charge, which may disrupt correct operation of devices both in the time and space domain in a random way. An accurate calculation (estimation) of the reliability of nano-architectures through simulations is essential for future designs. It would allow not only verifying the theoretical results but could also help in designing or selecting the most suitable (nano)architecture that satisfies all delay, power, area, and reliability requirements. As a common denominator, most of statistical methods enabling the reliability evaluation use a single probability value to describe the fault-tolerance of each gate in a circuit. This value is the probability of failure of a device (or a logic gate). However, when analyzing fault-tolerant techniques like averaging, which inherently use analog signals, a wide range of output probability values is required. In this case, each output of a logic circuit can be described in a statistical manner using probability density functions (PDFs). PDFs can be constructed by analyzing the distribution of different faults in the given circuit, as well as the impact of every single fault on the circuit output. PDFs can also be obtained using a Monte Carlo (MC) simulator, to acquire output values on a large sample of different fault patterns. PDFs of future nano-devices can be modeled using Gibbs distribution and the approach described in [4]. This work presents a novel method for modeling output PDFs of an arbitrary logic circuit. A fast and accurate reliability evaluation tool is necessary in order to acquire output PDFs of a logic unit of an arbitrary size. Analytical and experimental methods (discreteevent simulation) are directly applicable to circuit output PDFs generation. An example of discrete-event simulation is a Monte Carlo framework which uses fault injection and simulation. Although parallelizable, MC tools are still not efficient to be used for large circuits. Analytical methods enabling the reliability analysis are applicable to very simple structures such as 2-input and 3- input gates, and regular fabrics [5]. Despite the fact that they can be applied to large multi-level circuits, a significant loss in accuracy is observed due to simplified assumptions and compositional rules. Numerical methods enabling reliability evaluation use a single probability value to describe the fault-tolerance of each gate in the circuit. Moreover, the output result of the evaluation is a set of probability values for some input vector sets. Recent advances in reliability analysis presented such as probabilistic transfer matrices (PTMs) [6] and Bayesian networks [7] require significant runtimes for small benchmarks. Other works like [8] do not satisfy accuracy requirements. Two most recent approaches, single-pass reliability analysis [9] and signal probability analysis [] satisfy accuracy and scalability requirements. The single-pass reliability analysis tool offers better performance in terms of speed and scalability, with lower memory requirement. It implements a fast, accurate and scalable algorithm for reliability analysis. The original algorithm is intended for transient errors which can be modeled as a symmetrical flip of a gate output, with the same probability of error. The tool provides the probability of error for a //$26. c 2 IEEE 328

2 (logic- error) or flip (logic- error) at the given output of the circuit and accounts for reconvergent fanout. The method enabling modeling output PDFs uses these probability of error values and PDFs of gates in the last two layers of the output cone to model the output PDFs by propagation of intermediate PDFs. The method can be equally applied to transient and permanent errors. Results are verified using the MC tool that performs SPICE-level simulations using fault injection and transistor fault models for permanent errors as presented in []. Therefore, we modified the single-pass reliability analysis tool to include permanent errors, as well. This paper is organized as follows. Section II presents, in brief, the existing single-pass reliability analysis tool and its modifications to account for permanent errors. The circuit output PDFs modeling method is presented in Section III. The results and the accuracy of the method are demonstrated in Section IV. Finally, the conclusion is given in Section V. II. SINGLE-PASS RELIABILITY ANALYSIS TOOL In the original algorithm [9], gates are topologically sorted and processed in a single pass from the inputs to the outputs. Topological sorting ensures that before a gate is processed, the effects of multiple gate failures in the fanin cone of the gate are computed and stored at the inputs of the gate. At the core of this algorithm is the observation that an error at the output of any gate results from the cumulative effect of a local error component attributed to the probability of failure of the observed gate, and a propagated error component attributed to the failure of gates in its fanin cone. The following two events are important:, which marks an event where the output of the gate is at logic-, whereas its fault-free value is logic- (referred also as worst-case logic- error); accordingly,, which marks an event where the output of the gate is at logic-, whereas its fault-free value is logic- (referred also as worst-case logic- error). In this paper the following symbol convention is used: the arbitrary gate output is marked as g; the total error probability at g for and events is marked as Pr(g ) and Pr(g ), respectively; the propagation error probability at g (which is defined further in the text) for and events is marked as P p (g ) and P p (g ), respectively; the single gate error probability for a and events are marked as P ɛ and P ɛ, respectively; the joint single gate error probability for a and events is marked as P ɛ. In general, Pr(g ) Pr(g ) for an internal gate, located inside a circuit. Initially, Pr(x i, ) and Pr(x i, ) are known for the primary inputs x i of the circuit. In the core computational step of the algorithm, the and error components of input vectors at the inputs of a gate are combined to obtain propagation error probability P p (g ) and P p (g ). These probabilities are then combined with the local gate failure probability to obtain Pr(g ) and Pr(g ) at the output of the gate. The single-pass reliability analysis is performed by recursively applying the core computational step of the algorithm to the gates in a topological order. At the end of the single-pass, Pr(y ) and Pr(y ) are obtained for the output y of the circuit. The time complexity of the algorithm is O(n), where n is the number of gates in the circuit. Note that the singlepass reliability analysis gives the exact values of probability of error at the output in the absence of reconvergent fanout. The modification of the original algorithm in order to account for the permanent errors is reflected in the way the propagation error probabilities, Pr(g ) and Pr(g ) are calculated. Transient errors (whose effects are observable not longer than one clock period) can affect the output value that corresponds to only one input vector active at that time. Permanent errors on the other hand, are present all the time and affect the output value that corresponds to each input vector. Expression of propagation error probabilities: The and input error probability at g are given as P p(g ) =Pr(g g is fault free) P p(g ) =Pr(g g is fault free). () These are probabilities that the output g would be erroneous (whereas the gate does not fail) for at least one input vector, i.e. probabilities for combined input error vectors. Expressions for the propagation error probabilities and its components, for a 2-input NAND gate with inputs i and j (gate labeled with 3 in Figure ), are given in Table I. P, P, P and P represent the propagation error probability components for input vectors,, and respectively. P /, P /, P / and P // represent the joint propagation error probability components for /, /, / and // input vectors respectively. Expressions of Pr(g ) and Pr(g ):IfP ɛ and P ɛ are the and probabilities of failure of the local gate output g, respectively, the following expressions are obtained: Pr(g ) =( P p(g )) P ɛ + P p(g ) ( P ɛ) Pr(g ) =( P p(g )) P ɛ + P p(g ) ( P ɛ). (2) Note that events at inputs i and j are assumed independent which is valid if the gate is not a site of reconvergence of fanout. Since reconvergence causes the two events to be correlated, it is handled separately, in the following Subsection. The single-pass reliability analysis is illustrated for the circuit shown in Figure. The gate failure probabilities (P ɛ and P ɛ ), and probabilities of the and error are a b c d 2 i j g P.. P probability of error Figure. Small circuit example realized with 2-input NAND gates used as a logic unit. 2 8th IEEE/IFIP International Conference on VLSI and System-on-Chip (VLSI-SoC 2) 329

3 Table I EXPRESSIONS OF INPUT ERROR COMPONENTS FOR A 2-INPUT NAND GATE. Input vector input error component P =Pr(i )Pr(j ) P =Pr(i )( Pr(j )) P =Pr(j )( Pr(i )) / P / =Pr(i )Pr(j )( Pr(j )) / P / =Pr(i )Pr(j )( Pr(i )) / P / =Pr(i )Pr(j )( Pr(i ))( Pr(j )) // P // =Pr(i )Pr(j )( Pr(i ))( Pr(j )) Total P p(g )=P + P + P P / P / Input vector input error component P =Pr(i )+Pr(j ) Pr(i )Pr(j ) Total P p(g )=P indicated for each gate. The gates are numbered in the order in which they are processed. Although the computation has been illustrated for an NAND gate, the computation for NORs, inverters, ANDs, ORs, and XORs are all handled in a similar manner and the corresponding tables have been excluded for brevity. Only 2 and 3-input gates are supported. However, the tool can easily be enhanced to support more input gates by extending propagation probability tables. A. Handling Reconvergent Fanout The single-pass reliability algorithm computes the exact value of the probability of failure of circuits with no reconvergent fanouts. The presence of reconvergent fanout renders the single-pass reliability analysis approximate because the events of a or error of the inputs of a gate may not be independent at the point of reconvergence. The theory of correlation coefficients used in signal probability computation [2] is extended to make single-pass reliability analysis more accurate in the presence of reconvergent fanout. The original approach from [9] is followed, but the new way the correlation coefficient are calculated is adopted. Let v and w represent two wires, then four correlation coefficients for this pair are denoted by C vw, Cṽw, C v w, and Cṽ w, where v, w, ṽ, and w refer to the event of a,,, and error at v and w respectively. The correlation coefficients must be considered at the gates whose inputs are the site of reconvergence of fanout. At such gates, the events of a or error at the inputs are not independent. Thus, the entries in the second column of Table I are weighted by the appropriate correlation coefficient, e.g., Pr(i )( Pr(j )) becomes Pr(i )( Pr(j )C ). i j Correlation coefficient computation: The correlation coefficient of a pair of wires can be calculated by computing the correlation coefficients of the wires in the fanout source that cause the correlation in the first step, and then propagating these correlation coefficients along the appropriate paths leading to the pair of wires. Note that all four correlation coefficients for two independent wires are equal to. The computation of correlation coefficients for the fanout source and the propagation of correlation coefficients at a 2-input NAND gate are described in the following. Computation at fanout source node: Following the definition of the correlation coefficient, the correlation coefficient for the pair of wires {l, m} with fanout source node i (Figure 2a) is computed as follows: Pr(l ) =Pr(l,m ) =Pr(l )Pr(m )C lm. (3) C lm = Pr(m ) C l m can be computed in a similar manner. C lm and C l m are equal to zero since it is not possible to have a error on m and a error on l, or vice-versa. i Figure 2. l m i j k Computation/propagation of correlation coefficient. Propagation at a NAND gate: The propagation of correlation coefficients is illustrated for the NAND gate in Figure 2b. Let i, j, k be three wires whose pairwise correlation coefficients are known. The computation of the correlation coefficients for the pair {l, k} involves the propagation of the correlation coefficients through the NAND gate, using the correlation coefficients for pairs (i, k) and (j, k). Following the definition of the correlation coefficient and the definition of the conditional probability [3]: C lk = Pr(l,k ) Pr(l = Pr(l k ). (4) )Pr(k ) Pr(l ) The expression of Pr(l k ) in terms of the correlation coefficients for pairs of inputs (i, k) and (j, k) is given as: Pr(l k ) =( P p(l k )) P ɛ + P p(l k ) P ɛ and P p(l k ) =Pr(i )C ik Pr(j )C jk C ij +Pr(i )C ik ( Pr(j ))C jk C i j +Pr(j )C jk ( Pr(i ))Cĩk Cĩj +Pr(i )C ik Pr(j )C jk ( Pr(j ))C jk Cij +Pr(i )C ik Pr(j )C jk ( Pr(i ))Cĩk C ij l. (5) th IEEE/IFIP International Conference on VLSI and System-on-Chip (VLSI-SoC 2)

4 The terms in the expression of P p (l k ) are similar to the terms in the second column of Table I. The difference is that the probability of the and errors are multiplied by the appropriate correlation coefficients. The expression for C lk is derived in a similar manner using the lower part of Table I. Expressions for C l k and C l k are derived by replacing k with k in expressions for C lk and C lk respectively. In comparison with the original algorithm presented in [9], this modified version has the same level of accuracy and slightly improved computational speed. The speed improvement is due to the omission of the weight vector calculation. III. OUTPUT PDF MODELING The procedure for modeling PDFs for the worst case logic- and logic- at the output of an arbitrary circuit gate g is presented in the following. The example circuit from Figure is used. If we mark the desired PDFs as h g,max and h g,min, the probability of failure acquired using the single-pass reliability tool is given as Pr(g ) = Pr(g ) =.5.5 h g,max (x)dx and, (6) h g,min (x)dx since all output values for logic- (logic-) that are higher (lower) than a threshold of.5 are assumed as erroneous. Output PDFs are modeled using the two values for the probability of the gate failure from (6) acquired with the single-pass reliability tool and PDFs of individual gates that are close to output in a topological sense. a marks an event where the output of the gate is at any level that is different from logic- and logic- ( <a<) when its faultfree value is logic-. Accordingly, a marks an event that output of the gate is at any level different from logic- and logic- ( <a<) when its fault-free value is logic-. We differentiate four distinctive cases of the propagation error probability at g P p(g ) =Pr(g g is fault free) P p(g a) =Pr(g a g is fault free). (7) P p(g ) =Pr(g g is fault free) P p(g a) =Pr(g a g is fault free) If we mark the PDF of the local gate output g for the worst case logic- (logic-) as h ɛ,max (h ɛ,min ) and h p, a (h p, a ) the PDF at the output of g for a ( a) propagation error then h g,max and h g,min are expressed as h g,max =( P p(g ) P p(g a)) h ɛ,max + P p(g ) h ɛ,min + P p(g a) h p, a. (8) h g,min =( P p(g ) P p(g a)) h ɛ,min + P p(g ) h ɛ,max + P p(g a) h p, a h p, a and h p, a consist of two components; one for the fault-free g and another for the faulty g h p, a =( P ɛ) h () p, a + Pɛ h(2) p, a, (9) h p, a =( P ɛ) h () p, a + Pɛ h(2) p, a where h () p, a (h() p, a ) is the PDF at the output of g for the a ( a) event when g is fault-free and h (2) p, a (h(2) p, a ) is the PDF at the output of g for the a ( a) event when g is faulty. After inserting (9) into (8) h g,max =( P p(g ) P p(g a)) h ɛ,max + P p(g ) h ɛ,min + P p(g a) ( P ɛ) h () p, a + P p(g a) P ɛ h (2) p, a, h g,min =( P p(g ) P p(g a)) h ɛ,min + P p(g ) h ɛ,max + P p(g a) ( P ɛ) h () p, a + P p(g a) P ɛ h (2) p, a () where P ɛ and P ɛ are probabilities of failure of the local gate output g for logic- and logic- respectively, as presented at the beginning of Section II. Not all the elements of the sum in () have the same impact on h g,max (h g,min ). The impact is determined by probability factors that multiply PDFs on the right-hand side of (), since the integral of each of these PDFs is equal to one. The probability factors ratio is given as P p(g ) P p(g a) P p(g ) > >P p(g a) ( P ɛ) P p(g a) P ɛ. P p(g ) P p(g a) P p(g ) > >P p(g a) ( P ɛ) P p(g a) P ɛ () The smallest propagation factor (the last element on the righthand side of ()) can be disregarded. After introducing this approximation, () becomes h g,max ( P p(g ) P p(g a)) h ɛ,max + P p(g ) h ɛ,min + P p(g a) h () h g,min ( P p(g ) P p(g a)) h ɛ,min p, a + P p(g ) h ɛ,max + P p(g a) h () p, a. (2) The unknowns in (2) are P p (g a ) h () p, a and P p(g a ) h () p, a. All other elements can be subsequently derived. To determine the unknowns, we observe the transformation of PDFs at the input of g by the gate transfer function. The transfer function is determined for a fault-free library gate with default (output gate) load. Similarly as in previous Section, the 2-input NAND gate is considered without loosing generality, and the circuit example is depicted in Figure. A single input transfer function for a typical 2-input NAND gate is depicted in Figure 3a. In order for the a ( a) event to occur, one input has to be in the region defined as [ a,v DD a ] with fault-free value at logic- (logic-) and another input has to be at logic-. If we mark the PDFs for the worst case logic- and logic- at inputs i and j of g as h i,max, h i,min, h j,max 2 8th IEEE/IFIP International Conference on VLSI and System-on-Chip (VLSI-SoC 2) 33

5 Gate delta a V dd -delta a 6 x -4 worst case logic Gate input value [Vdd] 6 x -4 worst case logic- 5 5 PDF delta a V dd -delta a transformed PDF delta a V dd -delta a input NAND input value[vdd] input NAND output value[vdd] Figure 3. 2-input NAND gate transfer function; PDF for the worst case logic- in [ a,v DD a] region; (c) transformation of PDF from through gate transfer function from. (c) and h j,min, respectively, and the gate g transfer function of the PDF in the region of interest ([ a,v DD a ])asf T P p(g a) h () p, a = ft (hi,min )( Pr(j )) + f T (h j,min )( Pr(i )). (3) P p(g a) h () p, a = ft (hi,max )( Pr(j )) + f T (h j,max )( Pr(i )) h i,max, h i,min, h j,max and h j,min also respect (2). f T is computed numerically using the gate g transfer function. The PDF of the 2-input NAND gate in the region of interest ([ a,v DD a ]) (depicted in green in Figure 3b) h a and its transformation through the transfer function f T (h a ) are given in Figure 3b and 3c, respectively. By increasing voltage gain of the transfer function, the probability values in [ a,v DD a ] region of the transformed PDF - f T (h a ) reduce and already for voltage gain equal to ten can be totally omitted. Taking this fact into consideration, h i,max and h i,min (h j,max and h j,min ) which also comply with (2) can be approximated with h ɛ,max and h ɛ,min in the region of interest. This approximation means that P p (g a ) h () p, a and P p (g a ) h () p, a only depend on the PDFs of individual gates in the last layer of the fanin cone (outputs i and j in Figure ), and that propagating factors in these PDFs can be omitted. Since P p (g a ) (P p (g a )) is the smallest factor in (2), this approximation is justified. For the same reason, factors Pr(i ) and Pr(j ) are omitted from (3). Finally, P p(g a) h () p, a 2fT (hɛ,min ) (4) P p(g a) h () p, a 2fT (hɛ,max ). From (4), P p (g a ) and P p (g a ) are expressed as P p(g a) P p(g a) 2f T (h ɛ,max ). (5) 2f T (h ɛ,min ) After replacing (2) into (6) and solving for P p (g ) and P p (g ) the remaining unknown factors from (2) are derived as P p(g ) = P p(g ) = Pr(g ) ( Pp(g a))pɛ P ɛ P ɛ Pp(g a).5 h() p, a (x)dx P ɛ P ɛ. (6) Pr(g ) ( Pp(g a))pɛ P ɛ P ɛ Pp(g a).5 h () p, a (x)dx P ɛ P ɛ th IEEE/IFIP International Conference on VLSI and System-on-Chip (VLSI-SoC 2)

6 IV. RESULTS A set of MATLAB scripts has been developed to automate the described process related to output PDF modeling. The actual calculations implemented in MATLAB are performed on discrete data sets where PDFs are represented with points histograms. The calculations and simulations were run on 2.66-GHz quad core-based system with 4GB of memory. A MC framework performing SPICE-level simulations is used to obtain data for the comparison and the necessary PDFs of the library gates. All possible combinations of single and double permanent faults are injected in each standard library gate in order to generate PDFs, for values of the probability of fault per transistor (p f ) in the range from.% to 2%. 4-bit full adder is used as the main benchmark circuit. This is an area/delay minimized realization of an adder, synthesized in Synopsis using the reduced library set consisting of 2 and 3-input NAND and NOR gates and inverters. The benchmark circuit consists of 39 gates in total. The modeled PDFs for the worst case logic- and logic- at outputs of 4-bit full adder (denoted as modeled in the figures) are compared with the equivalent PDFs acquired using MC tool (denoted as simulated in the figures). Single, double and triple permanent faults have been injected into the MC framework. The number of MC iterations is set to 3 32 in order to minimize the error due to sampling. The values of modeled and simulated PDFs for the worst case logic- are depicted in Figure 4a and 4b. Likewise, the values of modeled and simulated PDFs for the worst case logic- are depicted in Figure 5a and 5b. The applied p f to obtain data depicted in figures is 2%. All values are depicted in bins original histograms (without interpolation). The values of histograms for the output equal to zero and Vdd are excluded for better visualization, since they are few orders of magnitude larger than other values in the histogram. The difference between PDFs is not noticeable. The intrinsic property of our method is that the runtime does not depend on the complexity of the circuit once the circuit output probability of failure is acquired. The average runtime of our tool for the benchmark circuit (for different values of p f ), including single pass reliability analysis tool, is under ms. In order to compare modeled and simulated PDFs, Pearson s chi-square test [3] for histogram comparison has been performed. The chi-square statistics calculates the difference between simulated and modeled histogram as r X 2 (S i nm i) 2 =, (7) nm i i= where X 2 is the test statistic that asymptotically approaches a χ 2 distribution, S i is the simulated histogram value (acquired directly from MC simulations), M i is the modeled histogram value (normalized - sum of its values is equal to one), n is number of iterations (only iterations when circuit output was faulty are included) and r is the number of histogram bins ( in our case). The null-hypothesis is that compared distributions are identical. This hypothesis can be rejected with significance level α (the rejecting error of the correct null-hypothesis) if and only if X 2 >ε α, where ε α is α quantile of the χ 2 (r ) distribution. Table II shows X 2 values for PDFs of each output of the 4-bit full adder (Sum to ) for the worst case logic- and logic- and for different values of p f. % and 5% quantile values for ε α used for comparison are and respectively. Following the chi-square test results, the hypothesis that modeled and simulated distributions are identical can not be rejected for any significance level and for any of the compared PDFs. All X 2 values are smaller than the value of ε.95. X 2 value does not change noticeably for different p f. Table II CHI-SQUARE TEST RESULTS: X 2 VALUES FOR OUTPUTS OF 4-bit full adder FOR THE WORST CASE LOGIC- AND LOGIC- AND FOR DIFFERENT VALUES OF p f. p f =.% p f =% p f =5% p f =2% output X 2 X 2 X 2 X 2 Sum logic logic Sum 2 logic logic Sum 3 logic logic logic logic V. CONCLUSION The need for EDA tools that offer realistic reliability evaluation and modeling by employing a data collection without over-simplification of the models is becoming more prominent. The precise evaluation of the reliability of logic circuits has a significant importance not only because of the possibility to compare different fault-tolerance techniques, but also because the circuit design in highly-defective and future nanotechnologies can be enhanced. Our novel method has demonstrated that output PDFs of an arbitrary gate are only dependent on PDFs of gates located in the last two stages of the output cone and on output probability of failure. This enables a fast and accurate modeling of output PDFs for logic- and logic- of an arbitrary circuit. The method has been evaluated in standard CMOS technology for permanent defects modeled with detailed transistor fault models. However, no restriction apply on the method implementation in any fabrication technology including future nanodevices. The method is not restricted to permanent defects and can be applied to transient faults in an equal manner. The accuracy of the method has been demonstrated and confirmed with Pearson s chi-square test. This method has several potential applications, including the analysis of averaging (analog) fault-tolerant techniques, fine-grained redundancy insertion, and reliability-driven design optimization. 2 8th IEEE/IFIP International Conference on VLSI and System-on-Chip (VLSI-SoC 2) 333

7 .2 x -3 worst case logic-, zoomed.2 x -3 worst case logic-, zoomed modeled PDF simulated PDF Figure 4. 4-bit full-adder worst case logic- PDF: modeled; simulated x -3 worst case logic-, zoomed.2 x -3 worst case logic-, zoomed modeled PDF simulated PDF Figure 5. 4-bit full-adder worst case logic- PDF: modeled; simulated REFERENCES [] James D. Meindl, Qiang Chen, and Jeffrey A. Davis, Limits on silicon nanoelectronics for terascale integration, Science, vol. 293, no. 5537, pp , 2. [2] G. Bourianoff, The future of nanocomputing, Computer, vol. 36, no. 8, pp , Aug. 23. [3] Chenxiang Lin, Yan Liu, Sherri Rinker, and Hao Yan, DNA tile based self-assembly: Building complex nanoarchitectures, ChemPhysChem, vol. 7, no. 8, pp , 26. [4] R. I. Bahar, J. Chen, and J. Mundy, Nano, Quantum and Molecular Computing: Implications to High Level Design and Validation, chapter A probabilistic-based design for nanoscale computation, pp , Kluwer Academic Publishers, 24. [5] J. von Neumann, Automata Studies, chapter Probabilistic logic and the synthesis of reliable organisms from unreliable components, pp , Prinston, NJ: Princeton Univ. Press, 956. [6] S. Krishnaswamy, G. F. Viamontes, I. L. Markov, and J. P. Hayes, Accurate reliability evaluation and enhancement via probabilistic transfer matrices, in Proc. Design, Automation and Test in Europe (DATE), 25, pp [7] T. Rejimon and S. Bhanja, Scalable probabilistic computing models using Bayesian networks, in Proc. 48th Midwest Symposium on Circuits and Systems (MWSCAS), 7 Aug. 25, pp [8] E. Taylor, Jie Han, and J. Fortes, Towards accurate and efficient reliability modeling of nanoelectronic circuits, in Proc. Sixth IEEE Conference on Nanotechnology (IEEE-NANO), 7 2 June 26, vol., pp [9] M. R. Choudhury and K. Mohanram, Reliability analysis of logic circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 3, pp , March 29. [] D. T. Franco, M. C. Vasconcelosa, L. Navinera, and J.-F. Navinera, Signal probability for reliability evaluation of logic circuits, Microelectronics Reliability, vol. 48, no. 8-9, pp , 28. [] M. Stanisavljevic, A. Schmid, and Y. Leblebici, Fault-tolerance of robust feed-forward architecture using single-ended and differential deepsubmicron circuits under massive defect density, in Proc. International Joint Conference on Neural Networks (IJCNN), 6 2 July 26, pp [2] S. Ercolani, M. Favalli, M. Damiani, P. Olivo, and B. Ricco, Estimate of signal probability in combinational logic networks, in Proc. st European Test Conference, 2 4 April 989, pp [3] A. Papoulis and S. U. Pillai, Probability, Random Variables and Stohastic Processes, NY: McGraw-Hill, 4th edition, th IEEE/IFIP International Conference on VLSI and System-on-Chip (VLSI-SoC 2)

Error Threshold for Individual Faulty Gates Using Probabilistic Transfer Matrix (PTM)

Error Threshold for Individual Faulty Gates Using Probabilistic Transfer Matrix (PTM) Available online at www.sciencedirect.com ScienceDirect AASRI Procedia 9 (2014 ) 138 145 2014 AASRI Conference on Circuits and Signal Processing (CSP 2014) Error Threshold for Individual Faulty Gates Using

More information

Reliability Modeling of Nanoelectronic Circuits

Reliability Modeling of Nanoelectronic Circuits Reliability odeling of Nanoelectronic Circuits Jie Han, Erin Taylor, Jianbo Gao and José Fortes Department of Electrical and Computer Engineering, University of Florida Gainesville, Florida 6-600, USA.

More information

Stochastic Computational Models for Accurate Reliability Evaluation of Logic Circuits

Stochastic Computational Models for Accurate Reliability Evaluation of Logic Circuits Stochastic Computational Models for Accurate Evaluation of Logic Circuits Hao Chen and Jie Han Department of Electrical and Computer Engineering, University of Alberta Edmonton, Alberta, Canada T6G 2V4

More information

194 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 4, NO. 2, MARCH Yan Qi, Jianbo Gao, and José A. B. Fortes, Fellow, IEEE

194 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 4, NO. 2, MARCH Yan Qi, Jianbo Gao, and José A. B. Fortes, Fellow, IEEE 194 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 4, NO. 2, MARCH 2005 Markov Chains and Probabilistic Computation A General Framework for Multiplexed Nanoelectronic Systems Yan Qi, Jianbo Gao, and José A.

More information

Probabilistic Transfer Matrices in Symbolic Reliability Analysis of Logic Circuits

Probabilistic Transfer Matrices in Symbolic Reliability Analysis of Logic Circuits Probabilistic Transfer Matrices in Symbolic Reliability Analysis of Logic Circuits SMITA KRISHNASWAMY, GEORGE F. VIAMONTES, IGOR L. MARKOV, and JOHN P. HAYES University of Michigan, Ann Arbor We propose

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

Reliability-centric probabilistic analysis of VLSI circuits

Reliability-centric probabilistic analysis of VLSI circuits University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2006 Reliability-centric probabilistic analysis of VLSI circuits Thara Rejimon University of South Florida

More information

Fault Modeling. Fault Modeling Outline

Fault Modeling. Fault Modeling Outline Fault Modeling Outline Single Stuck-t Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of oolean Difference Copyright 1998 Elizabeth M. Rudnick

More information

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Copyright 1998 Elizabeth M. Rudnick 1 Modeling the effects

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya International Journal of Scientific Research in Computer Science, Engineering and Information Technology 2018 IJSRCSEIT Volume 3 Issue 5 ISSN : 2456-3307 Design and Implementation of Carry Look Ahead Adder

More information

Figure 1.1: Schematic symbols of an N-transistor and P-transistor

Figure 1.1: Schematic symbols of an N-transistor and P-transistor Chapter 1 The digital abstraction The term a digital circuit refers to a device that works in a binary world. In the binary world, the only values are zeros and ones. Hence, the inputs of a digital circuit

More information

Switching Activity Calculation of VLSI Adders

Switching Activity Calculation of VLSI Adders Switching Activity Calculation of VLSI Adders Dursun Baran, Mustafa Aktan, Hossein Karimiyan and Vojin G. Oklobdzija School of Electrical and Computer Engineering The University of Texas at Dallas, Richardson,

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Heap Charge Pump Optimisation by a Tapered Architecture

Heap Charge Pump Optimisation by a Tapered Architecture R. Arona, E. Bonizzoni, F. Maloberti, G. Torelli: "Heap Charge Pump Optimisation by a Tapered Architecture"; Proc. of the IEEE International Symposium on Circuits and Systems, ISCAS 2005, Kobe, 23-26 May,

More information

Radiation Effects in Nano Inverter Gate

Radiation Effects in Nano Inverter Gate Nanoscience and Nanotechnology 2012, 2(6): 159-163 DOI: 10.5923/j.nn.20120206.02 Radiation Effects in Nano Inverter Gate Nooshin Mahdavi Sama Technical and Vocational Training College, Islamic Azad University,

More information

Transistor Sizing for Radiation Hardening

Transistor Sizing for Radiation Hardening Transistor Sizing for Radiation Hardening Qug Zhou and Kartik Mohanram Department of Electrical and Computer Engineering Rice University, Houston, TX 775 E-mail: {qug, kmram}@rice.edu Abstract This paper

More information

Toward Hardware- Redundant, Fault-Tolerant Logic for Nanoelectronics

Toward Hardware- Redundant, Fault-Tolerant Logic for Nanoelectronics Advanced Technologies and Reliable Design for Nanotechnology Systems Toward Hardware- Redundant, Fault-Tolerant Logic for Nanoelectronics Jie Han and Jianbo Gao University of Florida Yan Qi Johns Hopkins

More information

Design of Optimized Quantum-dot Cellular Automata RS Flip Flops

Design of Optimized Quantum-dot Cellular Automata RS Flip Flops Int. J. Nanosci. Nanotechnol., Vol. 13, No. 1, March. 2017, pp. 53-58 Design of Optimized Quantum-dot Cellular Automata RS Flip Flops A. Rezaei* 1 Electrical Engineering Department, Kermanshah University

More information

DESİGN AND ANALYSİS OF FULL ADDER CİRCUİT USİNG NANOTECHNOLOGY BASED QUANTUM DOT CELLULAR AUTOMATA (QCA)

DESİGN AND ANALYSİS OF FULL ADDER CİRCUİT USİNG NANOTECHNOLOGY BASED QUANTUM DOT CELLULAR AUTOMATA (QCA) DESİGN AND ANALYSİS OF FULL ADDER CİRCUİT USİNG NANOTECHNOLOGY BASED QUANTUM DOT CELLULAR AUTOMATA (QCA) Rashmi Chawla 1, Priya Yadav 2 1 Assistant Professor, 2 PG Scholar, Dept of ECE, YMCA University

More information

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University CS 530 Fault Modeling Yashwant K. Malaiya Colorado State University 1 Objectives The number of potential defects in a unit under test is extremely large. A fault-model presumes that most of the defects

More information

Online Testable Reversible Circuits using reversible gate

Online Testable Reversible Circuits using reversible gate Online Testable Reversible Circuits using reversible gate 1Pooja Rawat, 2Vishal Ramola, 1M.Tech. Student (final year), 2Assist. Prof. 1-2VLSI Design Department 1-2Faculty of Technology, University Campus,

More information

Advanced Testing. EE5375 ADD II Prof. MacDonald

Advanced Testing. EE5375 ADD II Prof. MacDonald Advanced Testing EE5375 ADD II Prof. MacDonald Functional Testing l Original testing method l Run chip from reset l Tester emulates the outside world l Chip runs functionally with internally generated

More information

A NEW DESIGN TECHNIQUE OF REVERSIBLE GATES USING PASS TRANSISTOR LOGIC

A NEW DESIGN TECHNIQUE OF REVERSIBLE GATES USING PASS TRANSISTOR LOGIC Journal of Engineering Science 0(0), 00, 5- A NEW DESIGN TECHNIQUE OF REVERSIBLE GATES USING PASS TRANSISTOR LOGIC Md. Sazzad Hossain, Md. Minul Hasan, Md. Motiur Rahman and A. S. M. Delowar Hossain Department

More information

RALF: Reliability Analysis for Logic Faults An Exact Algorithm and Its Applications

RALF: Reliability Analysis for Logic Faults An Exact Algorithm and Its Applications RALF: Reliability Analysis for Logic Faults An Exact Algorithm and Its Applications Samuel Luckenbill 1, Ju-Yueh Lee 2, Yu Hu 3, Rupak Majumdar 1, and Lei He 2 1. Computer Science Department, University

More information

Novel Devices and Circuits for Computing

Novel Devices and Circuits for Computing Novel Devices and Circuits for Computing UCSB 594BB Winter 2013 Lecture 4: Resistive switching: Logic Class Outline Material Implication logic Stochastic computing Reconfigurable logic Material Implication

More information

DESIGN OF QCA FULL ADDER CIRCUIT USING CORNER APPROACH INVERTER

DESIGN OF QCA FULL ADDER CIRCUIT USING CORNER APPROACH INVERTER Research Manuscript Title DESIGN OF QCA FULL ADDER CIRCUIT USING CORNER APPROACH INVERTER R.Rathi Devi 1, PG student/ece Department, Vivekanandha College of Engineering for Women rathidevi24@gmail.com

More information

A PROBABILISTIC-BASED DESIGN METHODOLOGY FOR NANOSCALE COMPUTATION. R. Iris Bahar, Joseph Mundy, and Jie Chen

A PROBABILISTIC-BASED DESIGN METHODOLOGY FOR NANOSCALE COMPUTATION. R. Iris Bahar, Joseph Mundy, and Jie Chen A PROBABILISTIC-BASED DESIGN METHODOLOGY FOR NANOSCALE COMPUTATION R. Iris Bahar, Joseph Mundy, and Jie Chen Division of Engineering, Brown University, RI 20912, USA ABSTRACT As current silicon-based techniques

More information

VLSI Design I. Defect Mechanisms and Fault Models

VLSI Design I. Defect Mechanisms and Fault Models VLSI Design I Defect Mechanisms and Fault Models He s dead Jim... Overview Defects Fault models Goal: You know the difference between design and fabrication defects. You know sources of defects and you

More information

Analysis of flip flop design using nanoelectronic single electron transistor

Analysis of flip flop design using nanoelectronic single electron transistor Int. J. Nanoelectronics and Materials 10 (2017) 21-28 Analysis of flip flop design using nanoelectronic single electron transistor S.Rajasekaran*, G.Sundari Faculty of Electronics Engineering, Sathyabama

More information

Modeling and Optimization for Soft-Error Reliability of Sequential Circuits

Modeling and Optimization for Soft-Error Reliability of Sequential Circuits 4065 1 Modeling and Optimization for Soft-Error Reliability of Sequential Circuits Natasa Miskov-Zivanov, Student Member, IEEE, Diana Marculescu, Member, IEEE Abstract Due to reduction in device feature

More information

Generation of High Quality Non-Robust Tests for Path Delay Faults

Generation of High Quality Non-Robust Tests for Path Delay Faults Generation of High Quality Non-Robust Tests for Path Delay Faults Kwang-Ting Cheng Hsi-Chuan Chen Department of ECE AT&T Bell Laboratories University of California Murray Hill, NJ 07974 Santa Barbara,

More information

Synthesis of Quantum Circuit for FULL ADDER Using KHAN Gate

Synthesis of Quantum Circuit for FULL ADDER Using KHAN Gate Synthesis of Quantum Circuit for FULL ADDER Using KHAN Gate Madhumita Mazumder West Bengal University of Technology, West Bengal ABSTRACT Reversible and Quantum logic circuits have more advantages than

More information

PLA Minimization for Low Power VLSI Designs

PLA Minimization for Low Power VLSI Designs PLA Minimization for Low Power VLSI Designs Sasan Iman, Massoud Pedram Department of Electrical Engineering - Systems University of Southern California Chi-ying Tsui Department of Electrical and Electronics

More information

DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA

DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA Nikitha.S.Paulin 1, S.Abirami 2, Prabu Venkateswaran.S 3 1, 2 PG students / VLSI

More information

Design of Reliable Processors Based on Unreliable Devices Séminaire COMELEC

Design of Reliable Processors Based on Unreliable Devices Séminaire COMELEC Design of Reliable Processors Based on Unreliable Devices Séminaire COMELEC Lirida Alves de Barros Naviner Paris, 1 July 213 Outline Basics on reliability Technology Aspects Design for Reliability Conclusions

More information

Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters

Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst,

More information

High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex Family

High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex Family International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 11, Issue 04 (April 2015), PP.72-77 High Speed Time Efficient Reversible ALU Based

More information

arxiv: v1 [cs.et] 13 Jul 2016

arxiv: v1 [cs.et] 13 Jul 2016 Processing In-memory realization using Quantum Dot Cellular Automata arxiv:1607.05065v1 [cs.et] 13 Jul 2016 P.P. Chougule, 1 B. Sen, 2 and T.D. Dongale 1 1 Computational Electronics and Nanoscience Research

More information

A Novel Design and Implementation of New Double Feynman and Six-correction logic (DFSCL) gates in Quantum-dot Cellular Automata (QCA)

A Novel Design and Implementation of New Double Feynman and Six-correction logic (DFSCL) gates in Quantum-dot Cellular Automata (QCA) A Novel Design and Implementation of New Double Feynman and Six-correction logic (DFSCL) gates in Quantum-dot Cellular Automata (QCA) Dr. Sajjad Waheed Sharmin Aktar Ali Newaz Bahar Department of Information

More information

Basic Logic Gate Realization using Quantum Dot Cellular Automata based Reversible Universal Gate

Basic Logic Gate Realization using Quantum Dot Cellular Automata based Reversible Universal Gate Basic Logic Gate Realization using Quantum Dot Cellular Automata based Reversible Universal Gate Saroj Kumar Chandra Department Of Computer Science & Engineering, Chouksey Engineering College, Bilaspur

More information

Design and Implementation of Carry Tree Adders using Low Power FPGAs

Design and Implementation of Carry Tree Adders using Low Power FPGAs 1 Design and Implementation of Carry Tree Adders using Low Power FPGAs Sivannarayana G 1, Raveendra babu Maddasani 2 and Padmasri Ch 3. Department of Electronics & Communication Engineering 1,2&3, Al-Ameer

More information

ISSN (PRINT): , (ONLINE): , VOLUME-4, ISSUE-10,

ISSN (PRINT): , (ONLINE): , VOLUME-4, ISSUE-10, A NOVEL DOMINO LOGIC DESIGN FOR EMBEDDED APPLICATION Dr.K.Sujatha Associate Professor, Department of Computer science and Engineering, Sri Krishna College of Engineering and Technology, Coimbatore, Tamilnadu,

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 16 CMOS Combinational Circuits - 2 guntzel@inf.ufsc.br

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Chapter 2 Fault Modeling

Chapter 2 Fault Modeling Chapter 2 Fault Modeling Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why Model Faults? Fault Models (Faults)

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

Implementation of Reversible Control and Full Adder Unit Using HNG Reversible Logic Gate

Implementation of Reversible Control and Full Adder Unit Using HNG Reversible Logic Gate Implementation of Reversible Control and Full Adder Unit Using HNG Reversible Logic Gate Naresh Chandra Agrawal 1, Anil Kumar 2, A. K. Jaiswal 3 1 Research scholar, 2 Assistant Professor, 3 Professor,

More information

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors. Testability Lecture 6: Logic Simulation Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from the presentation prepared by book authors Slide 1 of 27 Outline What

More information

Logic Synthesis and Verification

Logic Synthesis and Verification Logic Synthesis and Verification Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall Timing Analysis & Optimization Reading: Logic Synthesis in a Nutshell Sections

More information

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator Design Verification Simulation used for ) design verification: verify the correctness of the design and 2) test verification. Design verification: Response analysis Specification Design(netlist) Critical

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

FAULT MODELS AND YIELD ANALYSIS FOR QCA-BASED PLAS 1

FAULT MODELS AND YIELD ANALYSIS FOR QCA-BASED PLAS 1 FAULT MODELS AND YIELD ANALYSIS FOR QCA-BASED PLAS Michael Crocker, X. Sharon Hu, and Michael Niemier Department of Computer Science and Engineering University of Notre Dame Notre Dame, IN 46556, USA Email:

More information

USING SAT FOR COMBINATIONAL IMPLEMENTATION CHECKING. Liudmila Cheremisinova, Dmitry Novikov

USING SAT FOR COMBINATIONAL IMPLEMENTATION CHECKING. Liudmila Cheremisinova, Dmitry Novikov International Book Series "Information Science and Computing" 203 USING SAT FOR COMBINATIONAL IMPLEMENTATION CHECKING Liudmila Cheremisinova, Dmitry Novikov Abstract. The problem of checking whether a

More information

Design of A Efficient Hybrid Adder Using Qca

Design of A Efficient Hybrid Adder Using Qca International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 PP30-34 Design of A Efficient Hybrid Adder Using Qca 1, Ravi chander, 2, PMurali Krishna 1, PG Scholar,

More information

Speeding up Reliability Analysis for Large-scale Circuits

Speeding up Reliability Analysis for Large-scale Circuits University of Windsor Scholarship at UWindsor Electronic Theses and Dissertations 2016 Speeding up Reliability Analysis for Large-scale Circuits Jinchen Cai University of Windsor Follow this and additional

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Fault Tolerant Computing ECE 655

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Fault Tolerant Computing ECE 655 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Fault Tolerant Computing ECE 655 Part 1 Introduction C. M. Krishna Fall 2006 ECE655/Krishna Part.1.1 Prerequisites Basic courses in

More information

What is a quantum computer? Quantum Architecture. Quantum Mechanics. Quantum Superposition. Quantum Entanglement. What is a Quantum Computer (contd.

What is a quantum computer? Quantum Architecture. Quantum Mechanics. Quantum Superposition. Quantum Entanglement. What is a Quantum Computer (contd. What is a quantum computer? Quantum Architecture by Murat Birben A quantum computer is a device designed to take advantage of distincly quantum phenomena in carrying out a computational task. A quantum

More information

A Novel Ternary Content-Addressable Memory (TCAM) Design Using Reversible Logic

A Novel Ternary Content-Addressable Memory (TCAM) Design Using Reversible Logic 2015 28th International Conference 2015 on 28th VLSI International Design and Conference 2015 14th International VLSI Design Conference on Embedded Systems A Novel Ternary Content-Addressable Memory (TCAM)

More information

A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications

A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications M. C. Parameshwara 1,K.S.Shashidhara 2 and H. C. Srinivasaiah 3 1 Department of Electronics and Communication

More information

ECE 1767 University of Toronto

ECE 1767 University of Toronto Applications Why Two Fault Simulators Never Agree General Techniques Parallel Pattern Simulation Inactive Fault Removal Critical Path Tracing Fault Sampling Statistical Fault Analysis ECE 767 Fault grading

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Accurate Reliability Analysis of Combinational Circuits using Theorem Proving

Accurate Reliability Analysis of Combinational Circuits using Theorem Proving Accurate Reliability Analysis of Combinational Circuits using Theorem Proving Osman Hasan, Jigar Patel and Sofiène Tahar Department of Electrical and Computer Engineering, Concordia University, Montreal,

More information

VLSI. Faculty. Srikanth

VLSI. Faculty. Srikanth J.B. Institute of Engineering & Technology Department of CSE COURSE FILE VLSI Faculty Srikanth J.B. Institute of Engineering & Technology Department of CSE SYLLABUS Subject Name: VLSI Subject Code: VLSI

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

A Statistical Study of the Effectiveness of BIST Jitter Measurement Techniques

A Statistical Study of the Effectiveness of BIST Jitter Measurement Techniques A Statistical Study of the Effectiveness of BIST Jitter Measurement Techniques David Bordoley, Hieu guyen, Mani Soma Department of Electrical Engineering, University of Washington, Seattle WA {bordoley,

More information

CAD tools play a significant role in the efficient design

CAD tools play a significant role in the efficient design IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 17, NO. 2, FEBRUARY 1998 73 Probabilistic Modeling of Dependencies During Switching Activity Analysis Radu Marculescu,

More information

Digital Circuits. 1. Inputs & Outputs are quantized at two levels. 2. Binary arithmetic, only digits are 0 & 1. Position indicates power of 2.

Digital Circuits. 1. Inputs & Outputs are quantized at two levels. 2. Binary arithmetic, only digits are 0 & 1. Position indicates power of 2. Digital Circuits 1. Inputs & Outputs are quantized at two levels. 2. inary arithmetic, only digits are 0 & 1. Position indicates power of 2. 11001 = 2 4 + 2 3 + 0 + 0 +2 0 16 + 8 + 0 + 0 + 1 = 25 Digital

More information

Double Feynman Gate (F2G) in Quantumdot Cellular Automata (QCA)

Double Feynman Gate (F2G) in Quantumdot Cellular Automata (QCA) Double Feynman Gate (F2G) in Quantumdot Cellular Automata (QCA) Ali Newaz Bahar E-mail: bahar_mitdu@yahoo.com Sajjad Waheed E-mail: sajad302@yahoo.com Md. Ashraf Uddin Department of Computer Science and

More information

Design of Sequential Circuits Using MV Gates in Nanotechnology

Design of Sequential Circuits Using MV Gates in Nanotechnology 2015 IJSRSET Volume 1 Issue 2 Print ISSN : 2395-1990 Online ISSN : 2394-4099 Themed Section: Engineering and Technology Design of Sequential Circuits Using MV Gates in Nanotechnology Bahram Dehghan 1,

More information

Case Studies of Logical Computation on Stochastic Bit Streams

Case Studies of Logical Computation on Stochastic Bit Streams Case Studies of Logical Computation on Stochastic Bit Streams Peng Li 1, Weikang Qian 2, David J. Lilja 1, Kia Bazargan 1, and Marc D. Riedel 1 1 Electrical and Computer Engineering, University of Minnesota,

More information

A Novel LUT Using Quaternary Logic

A Novel LUT Using Quaternary Logic A Novel LUT Using Quaternary Logic 1*GEETHA N S 2SATHYAVATHI, N S 1Department of ECE, Applied Electronics, Sri Balaji Chockalingam Engineering College, Arani,TN, India. 2Assistant Professor, Department

More information

Lecture 5: DC & Transient Response

Lecture 5: DC & Transient Response Lecture 5: DC & Transient Response Outline q Pass Transistors q DC Response q Logic Levels and Noise Margins q Transient Response q RC Delay Models q Delay Estimation 2 Activity 1) If the width of a transistor

More information

CSCI 2570 Introduction to Nanocomputing

CSCI 2570 Introduction to Nanocomputing CSCI 2570 Introduction to Nanocomputing The Emergence of Nanotechnology John E Savage Purpose of the Course The end of Moore s Law is in sight. Researchers are now exploring replacements for standard methods

More information

UNIVERSITY OF CALGARY. Noise-Immune Digital Circuit Design. Based on Probabilistic Models. Golam Tangim A THESIS

UNIVERSITY OF CALGARY. Noise-Immune Digital Circuit Design. Based on Probabilistic Models. Golam Tangim A THESIS UNIVERSITY OF CALGARY Noise-Immune Digital Circuit Design Based on Probabilistic Models by Golam Tangim A THESIS SUBMITTED TO THE FACULTY OF GRADUATE STUDIES IN PARTIAL FULFILLMENT OF THE REQUIREMENTS

More information

Stack Sizing for Optimal Current Drivability in Subthreshold Circuits REFERENCES

Stack Sizing for Optimal Current Drivability in Subthreshold Circuits REFERENCES 598 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL 16, NO 5, MAY 2008 design can be easily expanded to a hierarchical 64-bit adder such that the result will be attained in four cycles

More information

Evaluating the Reliability of Defect-Tolerant Architectures for Nanotechnology with Probabilistic Model Checking

Evaluating the Reliability of Defect-Tolerant Architectures for Nanotechnology with Probabilistic Model Checking Evaluating the Reliability of Defect-Tolerant Architectures for Nanotechnology with Probabilistic Model Checking Gethin Norman, David Parker, Marta Kwiatkowska School of Computer Science, University of

More information

DESIGN AND ANALYSIS OF A FULL ADDER USING VARIOUS REVERSIBLE GATES

DESIGN AND ANALYSIS OF A FULL ADDER USING VARIOUS REVERSIBLE GATES DESIGN AND ANALYSIS OF A FULL ADDER USING VARIOUS REVERSIBLE GATES Sudhir Dakey Faculty,Department of E.C.E., MVSR Engineering College Abstract The goal of VLSI has remained unchanged since many years

More information

GALOP : A Generalized VLSI Architecture for Ultrafast Carry Originate-Propagate adders

GALOP : A Generalized VLSI Architecture for Ultrafast Carry Originate-Propagate adders GALOP : A Generalized VLSI Architecture for Ultrafast Carry Originate-Propagate adders Dhananjay S. Phatak Electrical Engineering Department State University of New York, Binghamton, NY 13902-6000 Israel

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY

STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY E.N.Ganesh 1 / V.Krishnan 2 1. Professor, Rajalakshmi Engineering College 2. UG Student, Rajalakshmi Engineering College ABSTRACT This paper

More information

PARITY BASED FAULT DETECTION TECHNIQUES FOR S-BOX/ INV S-BOX ADVANCED ENCRYPTION SYSTEM

PARITY BASED FAULT DETECTION TECHNIQUES FOR S-BOX/ INV S-BOX ADVANCED ENCRYPTION SYSTEM PARITY BASED FAULT DETECTION TECHNIQUES FOR S-BOX/ INV S-BOX ADVANCED ENCRYPTION SYSTEM Nabihah Ahmad Department of Electronic Engineering, Faculty of Electrical and Electronic Engineering, Universiti

More information

ECE 3060 VLSI and Advanced Digital Design. Testing

ECE 3060 VLSI and Advanced Digital Design. Testing ECE 3060 VLSI and Advanced Digital Design Testing Outline Definitions Faults and Errors Fault models and definitions Fault Detection Undetectable Faults can be used in synthesis Fault Simulation Observability

More information

Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates

Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates B.BharathKumar 1, ShaikAsra Tabassum 2 1 Research Scholar, Dept of ECE, Lords Institute of Engineering & Technology,

More information

Analysis of One-Step Majority Logic Decoding under Correlated Data-Dependent Gate Failures

Analysis of One-Step Majority Logic Decoding under Correlated Data-Dependent Gate Failures Analysis of One-Step Majority Logic Decoding under Correlated Data-Dependent Gate Failures Srdan Brkic School of Electrical Engineering, University of Belgrade Email: brka05@gmail.com Predrag Ivanis School

More information

DELAY EFFICIENT BINARY ADDERS IN QCA K. Ayyanna 1, Syed Younus Basha 2, P. Vasanthi 3, A. Sreenivasulu 4

DELAY EFFICIENT BINARY ADDERS IN QCA K. Ayyanna 1, Syed Younus Basha 2, P. Vasanthi 3, A. Sreenivasulu 4 DELAY EFFICIENT BINARY ADDERS IN QCA K. Ayyanna 1, Syed Younus Basha 2, P. Vasanthi 3, A. Sreenivasulu 4 1 Assistant Professor, Department of ECE, Brindavan Institute of Technology & Science, A.P, India

More information

IMPLEMENTATION OF PROGRAMMABLE LOGIC DEVICES IN QUANTUM CELLULAR AUTOMATA TECHNOLOGY

IMPLEMENTATION OF PROGRAMMABLE LOGIC DEVICES IN QUANTUM CELLULAR AUTOMATA TECHNOLOGY IMPLEMENTATION OF PROGRAMMABLE LOGIC DEVICES IN QUANTUM CELLULAR AUTOMATA TECHNOLOGY Dr.E.N.Ganesh Professor ECE Department REC Chennai, INDIA Email : enganesh50@yahoo.co.in Abstract Quantum cellular automata

More information

PERFORMANCE ANALYSIS OF CLA CIRCUITS USING SAL AND REVERSIBLE LOGIC GATES FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF CLA CIRCUITS USING SAL AND REVERSIBLE LOGIC GATES FOR ULTRA LOW POWER APPLICATIONS PERFORMANCE ANALYSIS OF CLA CIRCUITS USING SAL AND REVERSIBLE LOGIC GATES FOR ULTRA LOW POWER APPLICATIONS K. Prasanna Kumari 1, Mrs. N. Suneetha 2 1 PG student, VLSI, Dept of ECE, Sir C R Reddy College

More information

RELIABLE computation using unreliable components is a. Bifurcations and Fundamental Error Bounds for Fault-Tolerant Computations

RELIABLE computation using unreliable components is a. Bifurcations and Fundamental Error Bounds for Fault-Tolerant Computations IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 4, NO. 4, JULY 2005 395 Bifurcations and Fundamental Error Bounds for Fault-Tolerant Computations J. B. Gao, Yan Qi, and José A. B. Fortes, Fellow, IEEE Abstract

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

Construction of a reconfigurable dynamic logic cell

Construction of a reconfigurable dynamic logic cell PRAMANA c Indian Academy of Sciences Vol. 64, No. 3 journal of March 2005 physics pp. 433 441 Construction of a reconfigurable dynamic logic cell K MURALI 1, SUDESHNA SINHA 2 and WILLIAM L DITTO 3 1 Department

More information

EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS

EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS Hussain Al-Asaad Department of Electrical & Computer Engineering University of California One Shields Avenue, Davis, CA 95616-5294

More information

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective

Errata of K Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Errata of K13126 Introduction to VLSI Systems: A Logic, Circuit, and System Perspective Chapter 1. Page 8, Table 1-1) The 0.35-µm process parameters are from MOSIS, both 0.25-µm and 0.18-µm process parameters

More information

School of Computer Science and Electrical Engineering 28/05/01. Digital Circuits. Lecture 14. ENG1030 Electrical Physics and Electronics

School of Computer Science and Electrical Engineering 28/05/01. Digital Circuits. Lecture 14. ENG1030 Electrical Physics and Electronics Digital Circuits 1 Why are we studying digital So that one day you can design something which is better than the... circuits? 2 Why are we studying digital or something better than the... circuits? 3 Why

More information

STATIC TIMING ANALYSIS

STATIC TIMING ANALYSIS STATIC TIMING ANALYSIS Standard Cell Library NanGate 45 nm Open Cell Library Open-source standard cell library Over 62 different functions ranging from buffers, to scan-able FFs with set and reset, to

More information

VLSI Signal Processing

VLSI Signal Processing VLSI Signal Processing Lecture 1 Pipelining & Retiming ADSP Lecture1 - Pipelining & Retiming (cwliu@twins.ee.nctu.edu.tw) 1-1 Introduction DSP System Real time requirement Data driven synchronized by data

More information