Unified Architecture Level Energy-Efficiency Metric

Size: px
Start display at page:

Download "Unified Architecture Level Energy-Efficiency Metric"

Transcription

1 Uniied Architecture Leel nergy-iciency Metric Victor Zyuban BM Research Diision, T.J. Watson Research Center, Yorktown Heights, Y zyuban@us.ibm.com ABSTRACT The deelopment o power-eicient microprocessors presents the need to consider power consumption at early stages o design, particularly at the SA and microarchitecture deinition stages, where the potential or power saings is more signiicant than at lowerleel stages, and the opportunity or making power-perormance tradeos is the largest. Design modiications to the SA and microarchitecture, howeer, aect most (i not all) parameters o the design, including architectural speed, code density, clocking rate and power. A reliable metric is required to make knowledgeable power-perormance tradeos in this multi-dimensional space. This paper deries a uniied energy-eiciency metric or ealuating SA and microarchitecture eatures, which subsumes other commonly used power-perormance metrics as special cases o a more general equation. This new metric is deried based on an analysis o a multi-dimensional power optimization problem, and the resulting ormula inoles only relatie changes in the characteristics o a processor, enabling its application at the early stages o the design. Categories and Subject Descriptors C.1.0 [Processor Architectures]: General; C.5.3 [Microcomputers]: Microprocessors; B.7.1 [Types and Design Styles]: Microprocessors and microcomputers,vls; C.0 [General]: Modeling o computer architecture; C.1.3 [Other Architecture Styles]: Pipeline processors General Terms Design, Perormance Keywords nergy, power, perormance, energy-eiciency, metric, architecture, microarchitecture 1. TRODUCTO The opportunity or power-perormance tradeos is the largest at the early stages o microprocessor deelopment, particularly at Permission to make digital or hard copies o all or part o this work or personal or classroom use is granted without ee proided that copies are not made or distributed or proit or commercial adantage and that copies bear this notice and the ull citation on the irst page. To copy otherwise, to republish, to post on serers or to redistribute to lists, requires prior speciic permission and/or a ee. GLSVLS 0, April 18-19, 00, ew York, ew York, USA Copyright 00 ACM /0/ $5.00. the instruction set and microarchitecture deinition stages. At this leel, een minor modiications to the design may result in signiicant changes to the power-perormance characteristics o the processor. To draw a conclusion about the eectieness o some existing or proposed architectural eature, one needs to ealuate its eect on the architectural speed o the processor (PC), its power, maximum clocking rate and cost. Certain architectural eatures that improe the architectural speed, may be ery costly in terms o power dissipation, whereas others may impact the clocking rate. A proper power-perormance metric is needed to combine all these eects. n order to be useul at early design phases, such a powerperormance metric has to deal with relatie changes in the architectural perormance o the processors, such as PC and dynamic instruction count, and physical characteristics, such as the clocking rate and power dissipation. an architectural eature under ealuation improes the power-perormance metric, it is considered energy-eicient according to this metric; that is, it results in a better design point in the power-perormance optimization space. A number o power-perormance metrics hae been proposed [5, 7, 6,, 11, 1, 9, 3, 8], and some o them hae been used to compare dierent products on the market. The MPS per Watt metric, which can be reduced to the reerse o energy-per-operation [4], has been used or comparing low-end products. t has also been used as a power perormance metric in the ixed throughput mode [4]. This paper shows that, depending on certain actors, metric MPS per Watt may or may not lead to a power-optimized design or the ixed throughput mode. Furthermore, we show in section.1.1 that MPS per Watt is a special case o a more general ormula, deried in this work, that coers both the ixed throughput and ixed power modes. Sometimes the MPS per Watt metric is also used or analyzing high perormance processors, when such a processor cannot be set to operate at its ull speed because its power exceeds the powerdissipating capabilities o the package. n this case, howeer, the power-perormance metric can be more accurately expressed as MPS at maximum power which is substantially dierent rom MPS per Watt, as will be shown in Section.1. o this paper. The energy-delay product, whose inerse can be reduced to the MPS square per Watt, is a more reasonable metric [7] or comparing a midrange class o microprocessors. Formulas placing more emphasis on perormance by raising the exponent o MPS hae also been used or comparing high-end serer microprocessors; metric MPS 3 Watt is an example o this []. All the metrics mentioned aboe are diicult to use or ealuating the energy eiciency o architectural eatures at early stages o design, or two reasons. First, absolute power and perormance data are typically unaailable. Second, it is hard to reach an agree-

2 ment between architects and circuit designers on the appropriate alue o γ in the power-perormance ormula MPS γ Watt [13]. n this paper, we derie a new metric that combines relatie changes in the architectural speed, dynamic instruction count, aerage energy dissipated per executed instruction, and maximum clocking rate o the processor, resulting rom design modiications at the architectural and microarchitectural leels. This metric will allow designer to ealuate the energy-eiciency o architectural eatures beore making them part o the design, and to compare architectural alternaties in the power-perormance design space. The organization o the paper is as ollows: Sections deries the energy-eiciency metric or three types o processor implementations: ideal clock gating, ree running clock, and partial clock gating. Section 3 considers the eect o technology characteristics and circuit style on the deried metric. Section 4 gies examples o applying the metric to ealuate the energy eiciency o some architectural eatures. Section 5 discusses the limitations o the deried metric and summarizes the paper.. POWR-PRFORMAC OPTMZATO Consider the problem o optimizing the power-perormance characteristics o a processor in the space o two ariables: architectural complexity and power supply oltage. To allow a mathematical analysis o the problem, we introduce a discrete ariable ξ that represents a measure o the architectural complexity o a processor. The domain o this ariable can be deined by ordering all possible architectural alternaties, and assigning a numeric alue to each o them. Then, any architectural modiication to the processor results in an increment or decrement in the alue o ξ. xamples o ariations in architectural complexity include the addition o instructions to the SA, modiying the deinitions o existing instructions, or, at the microarchitecture leel, changing the pipeline latency, adding or remoing hardware unctionality such as bypasses, unctional unit, access read or write ports to arious structures, changing the width o the datapath, and so on. We will treat the architectural complexity as an independent ariable in the optimization process. Power supply oltage will be treated as the second independent ariable in the optimization process, based on the assumption that, to achiee the desired power and perormance characteristics, the power supply oltage can be set to any alue rom the range or which the technology is qualiied. Then, the perormance and power characteristics o a processor can be iewed as unctions o the independent ariables ξ and, where is a continuous and ξ is a discrete ariable: dynamic instruction count = (ξ) architectural speed (PC) = (ξ) maximum clocking rate = (ξ;) energy per istruction = (ξ;) (1) n these and all ollowing ormulas, is the total number o dynamic instructions executed on a gien benchmark suite; is the aerage number o instructions completed per clock cycle by the processor, calculated on the same benchmark suite; is the aerage energy per instruction, calculated as = i w i i,where i is the aerage energy dissipated on the execution o instruction i rom the instruction set, and w i is the normalized dynamic requency o the corresponding instructions in the benchmark suite. To a irst approximation, and depend only on the architectural complexity ξ, and are independent o the supply oltage. The clocking rate,, and the aerage energy per instruction,, depend both on the architectural complexity ξ and the supply oltage. Then, the processor perormance P on the gien benchmark suite can be expressed as ollows: P(ξ;)= (ξ;)(ξ) : () (ξ) The expression or power dissipation W(ξ;) depends upon the implementation details o the processor. We will consider two extreme cases: ideal clock gating and ree-running clock implementations, and a more realistic case o partial clock gating..1 deal Clock Gating Under an ideal clock gating model, the only resources that dissipate power are those accessed by executed instructions, and all unused hardware is gated-o, using the inest-grain clock gating mechanism or some sort o transition barrier mechanism 1,ora combination o both. n this case, the aerage power is directly proportional to the aerage number o instructions executed per cycle and the aerage energy dissipated per completed instruction: W(ξ;)= (ξ;)(ξ)(ξ;); (3) wherein is the aerage energy per executed instruction, as deined aboe. otice that i expression 3 is applied to a speculatie issue processor, then the energy dissipated by instructions rom mispredicted paths that are etched, and possibly executed but not committed, has to be included in..1.1 Constant-Perormance Optimization n this subsection we consider the problem o minimizing the aerage power dissipation, gien a perormance requirement, P = const. The designer is allowed to modiy the architecture (both SA and microarchitecture) and adjust the clocking rate o the processor, by changing the power supply oltage within certain limits, to satisy the perormance requirement at minimum power dissipation. This sort o optimization problem is typical or the design o low-power microprocessors, application speciic, real time processors and DSPs. n mathematical terms, the problem o power minimization can be reduced to the problem o minimizing the unction W(ξ;) inthespace o twodesignariables ξ and, under the constraint P(ξ;)=const. we use inite dierence notation or the discrete ariable ξ, 4F(ξ;) = F(ξ+;), F(ξ;) ; (4) wherein F(ξ;) is any unction o ariables ξ and, inoled in the analysis, and neglect the second-order terms, then the constraint condition can be expressed in dierential orm as 4P + P 4 = 0; (5) where 4 is the adjustment in the supply oltage needed to compensate or perormance loss or gain, resulting rom the architectural modiication. Here, and in the remainder o the paper, we neglect the secondorder terms o the orm F (4) and 4 F 4,whereF is any unction inoled in the analysis, such as W, P,,,. Thus, all ormulas and conclusions in this section are only alid or small 1 Transition barriers are placed beore unctional units (FU) to preent switching in unused FUs, or portions o FUs without the oerhead o duplicating the operand latches.

3 ariations to the architecture, such that the resulting relatie increments in all inoled unctions, and in their deriaties, are small ( 4F F 1, 4F 0 F 1) and relatie changes in the supply oltage, 0, needed to compensate or the perormance loss or gain, resulting rom architectural modiications, are also small, ( 4 1). mplications arising rom these assumptions are considered in section 5. Under the aboe assumptions, the problem o establishing the energy eiciency o a particular modiication to the architecture, can be reduced to that o inding a relation between relatie changes in processor characteristics in (1) or which = P=const + W 4 < 0: (6) P=const Using () and (3) and the assumptions stated aboe, we can calculate the inite dierences and partial deriaties in the constraint ormula (5) as ollows: 4P = 4 + P = 4, 4 ; (7) = ; (8) where is the dimensionless partial deriatie o the maximum clocking rate with respect to the supply oltage, = : (9) The alue o can be estimated empirically or a selected technology, supply oltage and the selected circuit style. To ealuate it, the designer can simulate the dependence o the delay through the hardware blocks that are expected to be on the critical path upon the supply oltage. xamples o the ealuation o are considered in the next section. Substituting expressions (7) and (8) into the constraint condition (5), we arrie at the ollowing expression or the ratio o inite dierences 4 and subject to the constraint P(ξ;)=const: 4 =, 4 P=const, : (10) The remaining terms in the energy-eiciency ormula (6) are calculated as ollows: = ; (11) W = ( + ); (1) where is the dimensionless partial deriatie o the aerage energy dissipated per instruction with respect to the supply oltage, = : (13) The alue o or CMOS circuits is typically close to, since the energy o the charged capacitance is proportional to the square o the supply oltage, = CV. A more accurate estimate or the alue o or a selected technology and circuit style can be obtained by simulating representatie circuits oer a range o supply oltages. xamples o the ealuation o are gien in the next section. Substituting (11), (1) and (10) into (6), and grouping terms in ront o the partial deriaties, we arrie at the ollowing criterion or energy eiciency:, 4, < 0 (14) The increments o all quantities in (14) appear in relatie orm and, thus are dimensionless. This eature makes this ormula easy to use as a negotiation basis between architects and circuit designers. For example, i = =, then i some microarchitectural enhancement (say adding a bypass) increases the aerage energy per instruction by 5%, and potentially increases the delay on the critical path by %, without any eect on the dynamic instruction count, then it will be energy eicient only i the resulting increase in the architectural speed is at least 7%. More examples on using the deried energy eiciency criterion are gien in Section 4. For some combinations o the alues o and, the deried energy-eiciency criterion can be iewed upon as a dierential orm o one o the conentional power-perormance metrics. For example, i = and = 1, then (14) is reduced to, 4, < 0; (15) which is a dierential orm o the well-known MPS-cube per Watt ormula, S = P 3 = W, assuming relations () and (3) or 3 perormance and power hold true. ndeed, according to the MPScube per Watt metric, processor A with the MPS-cube per Watt rating S = S A is considered a better design point than processor B with the MPS-cube per Watt rating S = S B i and only i S A, S B > 0. we denote 4 = A, B, 4 = A, B, 4 = A, B,and4 = A, B, then the inequality can be re-written as 1+ 4 B 1+ 4 B B > B B (16) all 4 s are suiciently small, then the aboe expression is equialent to (15) to the accuracy o the second-order terms. Similarly, i = and =, then the energy-eiciency criterion (14) is reduced to, 4, < 0; (17) which, in a similar way, can be shown to be equialent to the dierential orm o the MPS-square per Watt metric, proided that all assumptions stated earlier hold. Finally, i, (14) is reduced to < 0; (18) which, under the same assumptions, is equialent to the dierential orm o the MPS per Watt metric. Thereore, the MPS per Watt metric that is commonly used or power analysis under the ixed throughput mode [4] leads to an energy-optimized design only i. Thus, the MPS per Watt, MPS-square per Watt, MPScube per Watt, and other similar MPS to the power o γ per Watt metrics are special cases o the energy-eiciency criterion, deried in this paper. Adantages o the new metric are its generality and the ability to calculate the parameter γ or eery particular case, taking into account technology and circuit characteristics..1. Constant-Power Optimization The energy-eiciency ormula (14) appears to be also alid or the reerse problem o perormance maximization, subject to the

4 constant power constraint, W = const. To show this, let us assume that, similarly to the preious case, the designer is allowed to change both the architectural complexity ξ and the power supply oltage to achiee the maximum perormance, while keeping the aerage power at the required leel. This optimization goal is typical o the high-perormance microprocessor design targeted at achieing the highest perormance, without exceeding the power budget set by packaging. To achiee this goal, the designer needs to ealuate i a particular modiication to the architecture will result in higher perormance, assuming that the clocking rate will be adjusted to meet the power budget and the power supply oltage will be adjusted accordingly, to enable the processor hardware to operate at the desired clocking rate. Then, the optimization problem can be ormulated in mathematical terms as the problem o maximizing the unction P(ξ;) in the space o two design ariables ξ and, under the constraint W(ξ;)=const which, under the assumptions stated earlier, can be expressed in the inite dierence orm as + W 4 = 0: (19) Determining the energy eiciency o a particular modiication to the architecture can then be reduced to inding a condition or which 4P = 4P W=const + P 4 > 0: (0) W=const Substituting (11) and (1) into (19), we derie the ollowing expression or the ratio o inite dierences 4 and, under the constraint (19): 4 =, 1 4 W=const : (1) Substituting (7) and (8) into the energy-eiciency equation (0), we arrie at (14). Thus, the energy-eiciency criterion (14) is also alid or the alternatie ormulation o the power-perormance optimization problem, where the goal is to maximize perormance without exceeding the power budget. Thereore, metric (14) should be used (instead o MPS per Watt ) or optimizing high perormance clock gated processors, when such a processor cannot be set to operate at its ull speed because o the power constraint. The next subsection deries an energy-eiciency metric or processors that do not use any clock gating.. Worst-Case Power Analysis The energy-eiciency criterion (14) deals with the aerage power o a processor, assuming that the aerage power is proportional to the weighted aerage number o instructions executed per cycle. n this subsection we derie a special ersion o the energy-eiciency criterion tailored or processors that do not use any clock gating. The power-perormance optimization analysis in this special case ollows the same path as in case o ideal clock gating. The expression or the aerage power in the absence o clock gating is written as W(ξ;)= (ξ;)(ξ;); () where is the aerage energy dissipated per cycle. The expression or perormance () holds. Consequently, we only need to re-write ormulas inoling the power term, (11) and (1), as ollows: = ; (3) W = ( + ): (4) Repeating the analysis or the constant-perormance power optimization in subsection.1.1, we arrie at the ollowing energyeiciency criterion:, 4, < 0: (5) t is easy to eriy that, or the ree-running clock implementation, the constant-power optimization, described in subsection.1., leads to the same ormula (5). Compared to the corresponding expression or the ideal clock gating implementation (14), ormula (5) has a larger weight in ront o the term 4. This is a consequence o the assumption that the aerage power is independent o the number o instructions executed per cycle. otice that expression (5) also holds or the worst-case power analysis in clock-gated microprocessors, i is interpreted as worstcase energy dissipated per cycle. Thereore, i a processor is constrained by the worst-case sustained power that may be dissipated during the execution o a loop o power-intensie instructions with high degree o LP, combined with high switching actors in the data bits, then metric (5) should be used or both clock-gated and non-gated implementations o the processor. t is easy to show, ollowing the reasoning in the preious subsection, that the MPS per Watt, MPS-square per Watt, MPScube per Watt, and other MPS-to-the-power-o-γ per Watt metrics are special cases o the energy-eiciency criterion (5), written in the integral orm. For example, = ; = 1leadsto MPScube per Watt ; = ; = leads to MPS-square per Watt ; leads to MPS per Watt, while = ; = 0:5 leads to MPS-power-5 per Watt..3 Partial Clock Gating n the design o real processors, clock gating may be applied to only some portion o the processor resources, or the granularity o clock gating may be coarser than assumed in subsection.1. Then, a linear combination o the energy-eiciency criteria (14) and (5), deried under the assumptions o the ideal clock gating and zero clock gating, leads to:, 1 4 F + 1,, κ < 0; (6) where κ is the power-weighted portion o hardware coered by the clock gating, 0 < κ < FFCT OF CRCUT AD TCHOLOGY CHARACTRSTCS The proposed energy-eiciency metric is dependent on the characteristics o technology and circuits, through the parameters and, deined in (9) and (13). As shown in the preious section, dierent combinations o alues o and may lead to dierent conclusions about the eectieness o the same architectural eatures. Theoretical ormulas could be used to determine and.alternatiely, a more practical way to calculate the alues o these coeicients is to simulate representatie circuits oer a range o power supply oltages. For the ealuation o, it is important to select

5 unctional block that can potentially be on the critical path, on the other hand, or the ealuation o the most signiicant power consumers should be simulated. As an illustration, a representatie set o blocks in a typical microprocessor was selected, including an inter-unit star-connect data bus; a synthesized ASC 3-bit integer adder; a ull-custom 16-bit multiplier; the critical read path o the 4read/4write - port ull custom register ile (just simulation), described in [1]; and a read - write 16-entry semi-custom register ile built o latches and multiplexors, all implemented in a 0.13um technology. For the energy analysis, all blocks were simulated with PowerMill, applying random patterns to the inputs with a switching actor o 0.3, or 00 to 500 cycles (depending on the size o the circuit). A clocking rate o 100MHz was used in power simulations or all alues o Vdd. PathMill static timer was used or delay analysis. All deriaties were calculated by the 3-point ormula. = (*ddelay)/(delay*d) data bus integer adder multiplier (custom) 4r/4w port reg ile r/w port reg ile aerage supply oltage, V = ( d)/( d) data bus integer adder multiplier (custom) 4r/4w port reg ile aerage CV cure supply oltage, V Figure 1: Simulation results or =. Fig 1 shows simulation results or. The cures on the graph correspond to the blocks described aboe. A cure, corresponding to the = CV dependence is also plotted, as a reerence. Fig. 1 shows that, or all the blocks, the alue o is higher than the alue o two that corresponds to the = CV dependence. This super-vdd-square dependence o energy on the supply oltage is partially explained by short circuit power which grows aster than the square o [10], and higher glitching actiity at higher supply oltages. Those blocks that hae more signiicant glitching actors also demonstrate higher alues o, especially at high supply oltages. Detailed discussions o the actors aecting the dependence o on are beyond the scope o this paper. Fig shows simulation results or. The cures on the graph correspond to the preiously described blocks. For all blocks, increases rapidly or low alues o Vdd, especially as Vdd approaches the transistor threshold oltage. For high alues o Vdd, drops below unity because o the elocity saturation eect. For customdesigned blocks, tends to be smaller than or ASC-synthesized blocks, especially at low alues o Vdd, because o the (selectie) use o low-threshold deices in custom circuits, and low-oltage circuit styles (e.g. smaller transistor stacks). The thick lines on the graphs, marked with circles, represent the aerages oer all simulated blocks, calculated or unity weight actors. For the analysis o a real microprocessor, and o dierent blocks should be aeraged with appropriate weights. Figure : Simulation results or =. 4. XAMPL OF USG TH RGY-FFCCY CRTRO n practice, a simpliied orm o equations (14), (5) and (6) can be used or comparing architectural alternaties, where s are omitted rom the ormulas. Then, or example, (14) is reduced to:, 4, < 0: (7) t is important to note that, or calculating the inite increments 4 and 4, the meaning o partial deriaties with respect to the architectural complexity be presered, as deined in (4). Particularly, the designer needs to assume a ixed supply oltage when calculating the increments in those quantities. To illustrate the practical use o the energy eiciency criterion, let us consider examples o two hypothetical microprocessors: lowpower microprocessor A that uses the ine-grain clock gating, coering close to 100% o the hardware, and high perormance dynamicissue microprocessor B that does not use any clock gating. Assume that microprocessors A and B are targeted to operate at Vdd=1:0V, and 1:7V, respectiely. Then, by looking at the cures or the aerage and in Fig. and Fig. 1, we determine that = 1:7, = :1 or processor A, and = 0:75, = :31 or processor B. As a irst example, let us ealuate the energy eiciency o the execution bypass o the register ile in processor A. Suppose that architectural-leel simulation results show that, on a gien set o = 1:6%. Since adding the bypass does not aect the 4 = 0. Substituting these alues, and the alues o and, estimated aboe, into (14) or (7), we get (, 4, 4PC )+ 4 = 1:3(0:05,0:07)+0:016 < 0. The energy-eiciency criterion indicates that or the stated assumptions, adding the bypass improes the energy eiciency o proces- benchmarks, the increase in the architectural speed (PC) resulting rom adding the bypass is 4 = 7%. Moreoer, suppose that hardware analysis reeals that the critical path delay increases by 5%, 4 =,5%, because the register ile read access happens to be on the critical path, and the aerage energy dissipated by instructions that read the register ile increases % because o the bypass. 80% o dynamic instructions read operands rom the register ile, then the aerage energy dissipated by an executed instruction increases 4 dynamic instruction count,

6 sor A. otice, howeer, that the same eature would not be energyeicient i processor A were targeted to operate at Vdd = 0:7V or lower. As a second example, consider a proposal to add one extra read port to the multiported integer register ile in processor B, which will remoe some restrictions on the issue o store instructions in parallel with arithmetic instructions. Suppose that simulations showed that this eature would improe the architectural perormance by 0:5%. Assume that the register ile access in not on the critical path, so that adding an extra read port does not impact the clocking rate. Assume also that the increase in the power dissipated in the register ile (which is not clock gated) is 10%, and the integer register ile is responsible or 15% o the total CPU power. Then, the increase in the aerage energy dissipated per cycle is 4 = 1:5%. Substituting these alues, and the alues or and, estimated aboe, into (5), we get, ( + ) =,4:080:005+0:015 < 0. Thus, according to the energy-eiciency criterion or microprocessors without clock gating, adding an extra read port improes the energy eiciency o processor B. Thesame eature, howeer, would not be energy-eicient i processor B were targeted to operate at Vdd= 1:V,orbelow. These examples demonstrate the useulness and conenience o the proposed energy-eiciency metric. n both examples, the relatie changes in the characteristics o the processors were small, so that the assumptions, or which the ormulas were deried, were satisied. 5. COCLUSOS A new architectural-leel energy-eiciency metric was deried that subsumes other commonly used power-perormance metrics as special cases o a more general equation. An adantage o the deried metric is that it takes into account the characteristics o circuits and technology to draw a conclusion about the energy eiciency o an architectural eature. n spite o being ery general, the new ormula is easy to use because it only inoles relatie changes in the characteristics o the processor, which can be ealuated een at early stages o the processor deelopment. For those who eel more comortable using the integral metric o the orm MPS γ Watt, this work proides a consistent and reliable method or calculating parameter γ, γ = +. xamples hae been proided that illustrate the application o the proposed metric to a low-end and a high-perormance processors. For the alidity o the deried ormulas, the relatie dierences in the processor characteristics, corresponding to architectural alternaties under ealuation must be small, a 10% limit can be used or most practical purposes. Special care is needed, i the criterion is to be used to ealuate the energy eiciency o architectural eatures that result in signiicant changes in processor characteristics, such as increasing the issue width, or changing the width o data. Also, the conclusion may be misleading, i the ormulas are used to compare dierent products on the market, especially those built in dierent technologies. Another limitation o the deried criterion is that it does not consider other important actors, such as the code size, ease o programming, or compilability o an architecture. Also, in order to be useul or uture technologies, the ormulas need to be extended to take into account the leakage power. These are among the targets o our current and uture work. Acknowledgment The author would like to thank his colleagues J. Moreno, A. Zaks, U. Shadron, P. Bose and A. Kudriatse or useul discussions; and K. Warren or the management support. 6. RFRCS [1] A. Alandpour et al. A low leakage dynamic multi-ported register ile in 0.13um CMOS. Symposium on Low Power lectronics and Design, August 001. [] D. Brooks, P. Bose, et al. Power-aware microarchitecture: Design and modeling challenges or next-generation microprocessors. MCRO, 0(6):6 44, oember 000. [3] T. Burd. nergy-icient Processor System Design. PhD thesis, Uniersity o Caliornia, Berkeley, 001. [4] T. Burd and R. Brodersen. nergy eicient CMOS microprocessor design. n Proceedings o the 8th Annual Hawaii nternational Conerence on System Sciences, pages 88 97, [5] A. Chandrakasan, S. Sheng, and R. Brodersen. Low-power CMOS digital design. Journal o Solid-State Circuits, 7(4): , April 199. [6] R. Gonzalez and M. Horowitz. nergy dissipation in general purpose microprocessors. Journal o Solid-State Circuits, 31(9): , September [7] M. Horowitz, T. ndermaur, and R. Gonzalez. Low-power digital design. Proceedings o the Symposium on Low Power lectronics, pages 8 11, October [8] L Jia, Y. Gao, and H. Tenhunen. ew metrics or architectural leel power perormance ealuation. n nternational Symposium on Circuits and Systems, pages , May 000. [9] V. Tiwari et al. Reducing power in high-perormance microprocessors. Proceedings o the Design Automation Conerence, pages , [10] J. Veendrick. Short-circuit dissipation o static CMOS circuitry and its impact on the design o buer circuits. Journal o Solid-State Circuits, 19(4): , August [11]. Vijaykrishnan et al. nergy-drien integrated hardware-sotware optimizations using simplepower. n Proceedings o 7th Annual nternational Symposium on Computer Architecture, pages , 000. [1] V. Zyuban and P. Kogge. Optimization o high-perormance superscalar architectures or energy eiciency. n Symposium on Low Power lectronics and Design, pages 84 89, August 000. [13] V. Zyuban and P. Kogge. nherently lower-power high-perormance superscalar architectures. Transactions on Computers, 50(3), March 001.

Aerodynamic Admittance Function of Tall Buildings

Aerodynamic Admittance Function of Tall Buildings Aerodynamic Admittance Function o Tall Buildings in hou a Ahsan Kareem b a alou Engineering Int l, Inc., 75 W. Campbell Rd, Richardson, T, USA b Nataz odeling Laboratory, Uniersity o Notre Dame, Notre

More information

2 The Bhaduri-Marglin model

2 The Bhaduri-Marglin model The Bhaduri-Marglin model n this chapter the basics o the Bhaduri-Marglin model ill be discussed. Doing so, attention ill be directed on hich eatures o the model ill hae to be altered hen endogeniing labor

More information

Original citation: Gao, Yan, Chen, Yunei and Bekkali, Abdelmoula. (06) Perormance o passie UHF RFID in cascaded correlated generalized Rician ading. IEEE Communications Letters. doi : 0.09/LCOMM.06.549

More information

Spectral Techniques for Reversible Logic Synthesis

Spectral Techniques for Reversible Logic Synthesis Spectral Techniques or Reersible Logic Synthesis D. Michael Miller Gerhard W. Dueck Department o Computer Science Faculty o Computer Science Uniersity o Victoria Uniersity o New Brunswick Victoria, BC,

More information

Mean-variance receding horizon control for discrete time linear stochastic systems

Mean-variance receding horizon control for discrete time linear stochastic systems Proceedings o the 17th World Congress The International Federation o Automatic Control Seoul, Korea, July 6 11, 008 Mean-ariance receding horizon control or discrete time linear stochastic systems Mar

More information

Chapter 8: MULTIPLE CONTINUOUS RANDOM VARIABLES

Chapter 8: MULTIPLE CONTINUOUS RANDOM VARIABLES Charles Boncelet Probabilit Statistics and Random Signals" Oord Uniersit Press 06. ISBN: 978-0-9-0005-0 Chapter 8: MULTIPLE CONTINUOUS RANDOM VARIABLES Sections 8. Joint Densities and Distribution unctions

More information

1D PHENOMENOLOGICAL MODEL ESTIMATING THE OVERPRESSURE WHICH COULD BE GENERATED BY GAS EXPLOSION IN A CONGESTED SPACE

1D PHENOMENOLOGICAL MODEL ESTIMATING THE OVERPRESSURE WHICH COULD BE GENERATED BY GAS EXPLOSION IN A CONGESTED SPACE 1D PHENOMENOLOGICAL MODEL ESTIMATING THE OVERPRESSURE WHICH COULD BE GENERATED BY GAS EXPLOSION IN A CONGESTED SPACE Shabunya, S.I. 1, Martynenko, V.V. 1, Till, M. 2 and Perrin, J. 2 1 Heat & Mass Transer

More information

CRITICAL MASS FLOW RATE THROUGH CAPILLARY TUBES

CRITICAL MASS FLOW RATE THROUGH CAPILLARY TUBES Proceedings o the ASME 010 rd Joint US-European Fluids Engineering Summer Meeting and 8th International Conerence FESM-ICNMM010 August 1-5, 010, Montreal, Canada Proceedings o ASME 010 rd Joint US-European

More information

CHAPTER (i) No. For each coefficient, the usual standard errors and the heteroskedasticity-robust ones are practically very similar.

CHAPTER (i) No. For each coefficient, the usual standard errors and the heteroskedasticity-robust ones are practically very similar. SOLUTIONS TO PROBLEMS CHAPTER 8 8.1 Parts (ii) and (iii). The homoskedasticity assumption played no role in Chapter 5 in showing that OLS is consistent. But we know that heteroskedasticity causes statistical

More information

Introduction to Thermodynamic Cycles Part 1 1 st Law of Thermodynamics and Gas Power Cycles

Introduction to Thermodynamic Cycles Part 1 1 st Law of Thermodynamics and Gas Power Cycles Introduction to Thermodynamic Cycles Part 1 1 st Law of Thermodynamics and Gas Power Cycles by James Doane, PhD, PE Contents 1.0 Course Oeriew... 4.0 Basic Concepts of Thermodynamics... 4.1 Temperature

More information

Differential Equations

Differential Equations LOCUS Dierential Equations CONCEPT NOTES 0. Motiation 0. Soling Dierential Equations LOCUS Dierential Equations Section - MOTIVATION A dierential equation can simpl be said to be an equation inoling deriaties

More information

Fast Approximation For Peak Power Driven Voltage Partitioning in Almost Linear Time

Fast Approximation For Peak Power Driven Voltage Partitioning in Almost Linear Time Fast Approximation For Peak Power Drien Voltage Partitioning in Almost Linear Time Jia Wang, Xiaodao Chen, Lin Liu, Shiyan Hu Department of Electrical and Computer Engineering Michigan Technological Uniersity

More information

Department of Physics PHY 111 GENERAL PHYSICS I

Department of Physics PHY 111 GENERAL PHYSICS I EDO UNIVERSITY IYAMHO Department o Physics PHY 111 GENERAL PHYSICS I Instructors: 1. Olayinka, S. A. (Ph.D.) Email: akinola.olayinka@edouniersity.edu.ng Phone: (+234) 8062447411 2. Adekoya, M. A Email:

More information

TRANSIENT PRESSURE AND PRODUCTIVITY ANALYSIS IN CARBONATE GEOTHERMAL RESERVOIRS WITH CHANGING EXTERNAL BOUNDARY FLUX

TRANSIENT PRESSURE AND PRODUCTIVITY ANALYSIS IN CARBONATE GEOTHERMAL RESERVOIRS WITH CHANGING EXTERNAL BOUNDARY FLUX Wang,., et al.: Transient Pressure and Productiity Analysis in Carbonate Geothermal... THERMAL SCIENCE: Year 7, Vol., Suppl., pp. S77-S84 S77 TRANSIENT PRESSURE AN PROUCTIVITY ANALYSIS IN CARBONATE GEOTHERMAL

More information

x 0x 1x 2 x 2 x 3 x 4 x 2 x 3 p q f g x 0x 1 x 2 x 3 Fig. 1: Optical gates x 4 x 0 x 1 x i Fig. 2: Optical circuit f xi=0 f xi=1

x 0x 1x 2 x 2 x 3 x 4 x 2 x 3 p q f g x 0x 1 x 2 x 3 Fig. 1: Optical gates x 4 x 0 x 1 x i Fig. 2: Optical circuit f xi=0 f xi=1 OR-Inerter Graphs or the Synthesis o Optical Circuits Arighna Deb, Robert Wille, Rol Drechsler Computer Science & Engineering, Jadapur Uniersity, India Institute or Integrated Circuits, Johannes Kepler

More information

Demonstrating the Quantization of Electrical Charge Millikan s Experiment

Demonstrating the Quantization of Electrical Charge Millikan s Experiment Demonstrating the Quantization o Electrical Charge Millikan s Experiment Objecties o the experiment To demonstrate that electrical charge is quantized, and to determine the elementary electron charge by

More information

V. Transistors. 3.1 III. Bipolar-Junction (BJT) Transistors

V. Transistors. 3.1 III. Bipolar-Junction (BJT) Transistors V. Transistors 3.1 III. Bipolar-Junction (BJT) Transistors A bipolar junction transistor is formed by joining three sections of semiconductors with alternatiely different dopings. The middle section (base)

More information

9.3 Graphing Functions by Plotting Points, The Domain and Range of Functions

9.3 Graphing Functions by Plotting Points, The Domain and Range of Functions 9. Graphing Functions by Plotting Points, The Domain and Range o Functions Now that we have a basic idea o what unctions are and how to deal with them, we would like to start talking about the graph o

More information

Mechanics for Vibratory Manipulation

Mechanics for Vibratory Manipulation Mechanics or Vibratory Manipulation Wesley H. Huang Matthew T. Mason Robotics Institute Carnegie Mellon Uniersity Abstract Vibratory manipulation is any mode o manipulation inoling repeated impacts due

More information

d dt T R m n p 1. (A) 4. (4) Carnot engine T Refrigerating effect W COPref. = 1 4 kw 5. (A)

d dt T R m n p 1. (A) 4. (4) Carnot engine T Refrigerating effect W COPref. = 1 4 kw 5. (A) . (A). (C) 5. (C) 7. ( to 5) 9. (C) 6. (C). (C). (D) 6. (A) 8. (0.6 to 0.66) 50. (D) 6. (C). (A) 5. (C) 7. (A) 9. (C) 5. (D) 6. (C). () 6. (C) 8. (600) 0. (D) 5. (B) 6. (D) 5. (A) 7. (A) 9. (D). (C) 5.

More information

Dynamic Voltage and Frequency Scaling based on Workload Decomposition *

Dynamic Voltage and Frequency Scaling based on Workload Decomposition * Dynamic Voltage and Frequency Scaling based on Workload Decomposition * Kihwan Choi, Ramakrishna Soma, and Massoud Pedram Department o EE-Systems, University o Southern Caliornia, Los Angeles, CA 989 {kihwanch,

More information

Chapter 14 PROBLEM SOLUTIONS Since vlight v sound, the time required for the flash of light to reach the observer is negligible in

Chapter 14 PROBLEM SOLUTIONS Since vlight v sound, the time required for the flash of light to reach the observer is negligible in Chapter 4 PRBLEM LUTN 4. ince light sound, the time required or the lash o light to reach the obserer is negligible in comparison to the time required or the sound to arrie. Thus, we can ignore the time

More information

Optimum design of high frequency transformer for compact and light weight switch mode power supplies (SMPS)

Optimum design of high frequency transformer for compact and light weight switch mode power supplies (SMPS) Optimum design o high requenc transormer or compact and light weight switch mode power supplies (SMS Karampoorian. H. R api. Gh Vahedi. A Zadehgol. A Islamic Azad Uniersit o Khorramabad, Iran Iran Uniersit

More information

Resistance in Open Channel Hydraulics

Resistance in Open Channel Hydraulics 16.3.1 Resistance in Open Channel Hdraulics I Manning and Chez equations are compared e1 2 3 1 1 1 2 0 = 2 2 0 1 R S CR S n 21 1-3 2 6 R R C= = n n 1 6 R C= n For laminar low: K R R e1 VR = VR K= 2 2 8gSR

More information

Probabilistic Model of Error in Fixed-Point Arithmetic Gaussian Pyramid

Probabilistic Model of Error in Fixed-Point Arithmetic Gaussian Pyramid Probabilistic Model o Error in Fixed-Point Arithmetic Gaussian Pyramid Antoine Méler John A. Ruiz-Hernandez James L. Crowley INRIA Grenoble - Rhône-Alpes 655 avenue de l Europe 38 334 Saint Ismier Cedex

More information

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Espen Stenersen Master of Science in Electronics Submission date: June 2008 Supervisor: Per Gunnar Kjeldsberg, IET Co-supervisor: Torstein

More information

OPTIMAL PLACEMENT AND UTILIZATION OF PHASOR MEASUREMENTS FOR STATE ESTIMATION

OPTIMAL PLACEMENT AND UTILIZATION OF PHASOR MEASUREMENTS FOR STATE ESTIMATION OPTIMAL PLACEMENT AND UTILIZATION OF PHASOR MEASUREMENTS FOR STATE ESTIMATION Xu Bei, Yeo Jun Yoon and Ali Abur Teas A&M University College Station, Teas, U.S.A. abur@ee.tamu.edu Abstract This paper presents

More information

RATIONAL FUNCTIONS. Finding Asymptotes..347 The Domain Finding Intercepts Graphing Rational Functions

RATIONAL FUNCTIONS. Finding Asymptotes..347 The Domain Finding Intercepts Graphing Rational Functions RATIONAL FUNCTIONS Finding Asymptotes..347 The Domain....350 Finding Intercepts.....35 Graphing Rational Functions... 35 345 Objectives The ollowing is a list o objectives or this section o the workbook.

More information

ME224 Lab 5 - Thermal Diffusion

ME224 Lab 5 - Thermal Diffusion ME4 Lab 5 ME4 Lab 5 - hermal Diffusion (his lab is adapted from IBM-PC in the laboratory by B G homson & A F Kuckes, Chapter 5) 1. Introduction he experiments which you will be called upon to do in this

More information

SUPPLEMENTARY MATERIAL. Authors: Alan A. Stocker (1) and Eero P. Simoncelli (2)

SUPPLEMENTARY MATERIAL. Authors: Alan A. Stocker (1) and Eero P. Simoncelli (2) SUPPLEMENTARY MATERIAL Authors: Alan A. Stocker () and Eero P. Simoncelli () Affiliations: () Dept. of Psychology, Uniersity of Pennsylania 34 Walnut Street 33C Philadelphia, PA 94-68 U.S.A. () Howard

More information

Objectives. By the time the student is finished with this section of the workbook, he/she should be able

Objectives. By the time the student is finished with this section of the workbook, he/she should be able FUNCTIONS Quadratic Functions......8 Absolute Value Functions.....48 Translations o Functions..57 Radical Functions...61 Eponential Functions...7 Logarithmic Functions......8 Cubic Functions......91 Piece-Wise

More information

Two Phase Pressure Drop of CO2, Ammonia, and R245fa in Multiport Aluminum Microchannel Tubes

Two Phase Pressure Drop of CO2, Ammonia, and R245fa in Multiport Aluminum Microchannel Tubes Purdue Uniersity Purdue e-pubs International Refrigeration and Air Conditioning Conference School of Mechanical Engineering 6 Two Phase Pressure Drop of CO, Ammonia, and R45fa in Multiport Aluminum Microchannel

More information

Compile-Time Dynamic Voltage Scaling Settings: Opportunities and Limits

Compile-Time Dynamic Voltage Scaling Settings: Opportunities and Limits Compile-Time Dynamic Voltage Scaling Settings: Opportunities and Limits Fen Xie Department o Electrical Engineering Princeton University Princeton, NJ xie@ee.princeton.edu Margaret Martonosi Department

More information

A Systematic Approach to Frequency Compensation of the Voltage Loop in Boost PFC Pre- regulators.

A Systematic Approach to Frequency Compensation of the Voltage Loop in Boost PFC Pre- regulators. A Systematic Approach to Frequency Compensation o the Voltage Loop in oost PFC Pre- regulators. Claudio Adragna, STMicroelectronics, Italy Abstract Venable s -actor method is a systematic procedure that

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Jan. 17 th : Homework 1 release (due on Jan.

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEMORY INPUT-OUTPUT CONTROL DATAPATH

More information

Probabilistic Engineering Design

Probabilistic Engineering Design Probabilistic Engineering Design Chapter One Introduction 1 Introduction This chapter discusses the basics of probabilistic engineering design. Its tutorial-style is designed to allow the reader to quickly

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 19: Adder Design

CMPEN 411 VLSI Digital Circuits Spring Lecture 19: Adder Design CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 19: Adder Design [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L19

More information

Tools for Investigation of Dynamics of DC-DC Converters within Matlab/Simulink

Tools for Investigation of Dynamics of DC-DC Converters within Matlab/Simulink Tools for Inestigation of Dynamics of DD onerters within Matlab/Simulink Riga Technical Uniersity, Riga, Latia Email: pikulin03@inbox.l Dmitry Pikulin Abstract: In this paper the study of complex phenomenon

More information

Ultra Fast Calculation of Temperature Profiles of VLSI ICs in Thermal Packages Considering Parameter Variations

Ultra Fast Calculation of Temperature Profiles of VLSI ICs in Thermal Packages Considering Parameter Variations Ultra Fast Calculation o Temperature Proiles o VLSI ICs in Thermal Packages Considering Parameter Variations Je-Hyoung Park, Virginia Martín Hériz, Ali Shakouri, and Sung-Mo Kang Dept. o Electrical Engineering,

More information

AH 2700A. Attenuator Pair Ratio for C vs Frequency. Option-E 50 Hz-20 khz Ultra-precision Capacitance/Loss Bridge

AH 2700A. Attenuator Pair Ratio for C vs Frequency. Option-E 50 Hz-20 khz Ultra-precision Capacitance/Loss Bridge 0 E ttenuator Pair Ratio or vs requency NEEN-ERLN 700 Option-E 0-0 k Ultra-precision apacitance/loss ridge ttenuator Ratio Pair Uncertainty o in ppm or ll Usable Pairs o Taps 0 0 0. 0. 0. 07/08/0 E E E

More information

Online Companion to Pricing Services Subject to Congestion: Charge Per-Use Fees or Sell Subscriptions?

Online Companion to Pricing Services Subject to Congestion: Charge Per-Use Fees or Sell Subscriptions? Online Companion to Pricing Serices Subject to Congestion: Charge Per-Use Fees or Sell Subscriptions? Gérard P. Cachon Pnina Feldman Operations and Information Management, The Wharton School, Uniersity

More information

An Improved Expression for a Classical Type of Explicit Approximation of the Colebrook White Equation with Only One Internal Iteration

An Improved Expression for a Classical Type of Explicit Approximation of the Colebrook White Equation with Only One Internal Iteration International Journal o Hydraulic Engineering 06, 5(): 9-3 DOI: 0.593/j.ijhe.06050.03 An Improved Expression or a Classical Type o Explicit Approximation o the Colebrook White Equation with Only One Internal

More information

Chapter 11 Collision Theory

Chapter 11 Collision Theory Chapter Collision Theory Introduction. Center o Mass Reerence Frame Consider two particles o masses m and m interacting ia some orce. Figure. Center o Mass o a system o two interacting particles Choose

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Brake applications and the remaining velocity Hans Humenberger University of Vienna, Faculty of mathematics

Brake applications and the remaining velocity Hans Humenberger University of Vienna, Faculty of mathematics Hans Humenberger: rake applications and the remaining elocity 67 rake applications and the remaining elocity Hans Humenberger Uniersity of Vienna, Faculty of mathematics Abstract It is ery common when

More information

Fluctuationlessness Theorem and its Application to Boundary Value Problems of ODEs

Fluctuationlessness Theorem and its Application to Boundary Value Problems of ODEs Fluctuationlessness Theorem and its Application to Boundary Value Problems o ODEs NEJLA ALTAY İstanbul Technical University Inormatics Institute Maslak, 34469, İstanbul TÜRKİYE TURKEY) nejla@be.itu.edu.tr

More information

Numerical Methods - Lecture 2. Numerical Methods. Lecture 2. Analysis of errors in numerical methods

Numerical Methods - Lecture 2. Numerical Methods. Lecture 2. Analysis of errors in numerical methods Numerical Methods - Lecture 1 Numerical Methods Lecture. Analysis o errors in numerical methods Numerical Methods - Lecture Why represent numbers in loating point ormat? Eample 1. How a number 56.78 can

More information

Variations-Aware Low-Power Design with Voltage Scaling

Variations-Aware Low-Power Design with Voltage Scaling Variations-Aware -Power Design with Scaling Navid Azizi, Muhammad M. Khellah,VivekDe, Farid N. Najm Department of ECE, University of Toronto, Toronto, Ontario, Canada Circuits Research, Intel Labs, Hillsboro,

More information

4 Fundamentals of Continuum Thermomechanics

4 Fundamentals of Continuum Thermomechanics 4 Fundamentals of Continuum Thermomechanics In this Chapter, the laws of thermodynamics are reiewed and formulated for a continuum. The classical theory of thermodynamics, which is concerned with simple

More information

Finding all Bessel type solutions for Linear Differential Equations with Rational Function Coefficients

Finding all Bessel type solutions for Linear Differential Equations with Rational Function Coefficients Finding all essel type solutions or Linear Dierential Equations with Rational Function Coeicients Mark van Hoeij & Quan Yuan Florida State University, Tallahassee, FL 06-07, USA hoeij@math.su.edu & qyuan@math.su.edu

More information

General Integral Control Design via Singular Perturbation Technique

General Integral Control Design via Singular Perturbation Technique International Journal o Modern Nonlinear heor and pplication, 214, 3, 173-181 Published Online September 214 in SciRes. http://www.scirp.org/journal/ijmnta http://d.doi.org/1.4236/ijmnta.214.3419 General

More information

Chapter (3) Motion. in One. Dimension

Chapter (3) Motion. in One. Dimension Chapter (3) Motion in One Dimension Pro. Mohammad Abu Abdeen Dr. Galal Ramzy Chapter (3) Motion in one Dimension We begin our study o mechanics by studying the motion o an object (which is assumed to be

More information

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester

More information

Ultimate Shear Resistance of Plate Girders Part 2- Höglund Theory Ahmed S. Elamary

Ultimate Shear Resistance of Plate Girders Part 2- Höglund Theory Ahmed S. Elamary World Academy o Science, Engineering and Technology International Journal o Ciil and Enironmental Engineering Vol:7, No:, 03 Ultimate Shear Resistance o Plate Girders Part - Höglund Theory Ahmed S. Elamary

More information

Determining Appropriate Precisions for Signals in Fixed-Point IIR Filters

Determining Appropriate Precisions for Signals in Fixed-Point IIR Filters 38.3 Determining Appropriate Precisions for Signals in Fixed-Point IIR Filters Joan Carletta Akron, OH 4435-3904 + 330 97-5993 Robert Veillette Akron, OH 4435-3904 + 330 97-5403 Frederick Krach Akron,

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits

EE241 - Spring 2001 Advanced Digital Integrated Circuits EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 12 Low Power Design Self-Resetting Logic Signals are pulses, not levels 1 Self-Resetting Logic Sense-Amplifying Logic Matsui, JSSC 12/94 2

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

Transmission lines using a distributed equivalent circuit

Transmission lines using a distributed equivalent circuit Cambridge Uniersity Press 978-1-107-02600-1 - Transmission Lines Equialent Circuits, Electromagnetic Theory, and Photons Part 1 Transmission lines using a distributed equialent circuit in this web serice

More information

Improvement of Sparse Computation Application in Power System Short Circuit Study

Improvement of Sparse Computation Application in Power System Short Circuit Study Volume 44, Number 1, 2003 3 Improvement o Sparse Computation Application in Power System Short Circuit Study A. MEGA *, M. BELKACEMI * and J.M. KAUFFMANN ** * Research Laboratory LEB, L2ES Department o

More information

Chapter 8 Laminar Flows with Dependence on One Dimension

Chapter 8 Laminar Flows with Dependence on One Dimension Chapter 8 Laminar Flows with Dependence on One Dimension Couette low Planar Couette low Cylindrical Couette low Planer rotational Couette low Hele-Shaw low Poiseuille low Friction actor and Reynolds number

More information

Lesson 6: Apparent weight, Radial acceleration (sections 4:9-5.2)

Lesson 6: Apparent weight, Radial acceleration (sections 4:9-5.2) Beore we start the new material we will do another Newton s second law problem. A bloc is being pulled by a rope as shown in the picture. The coeicient o static riction is 0.7 and the coeicient o inetic

More information

Philadelphia University Faculty of Engineering Communication and Electronics Engineering

Philadelphia University Faculty of Engineering Communication and Electronics Engineering Module: Electronics II Module Number: 6503 Philadelphia University Faculty o Engineering Communication and Electronics Engineering Ampliier Circuits-II BJT and FET Frequency Response Characteristics: -

More information

8.4 Inverse Functions

8.4 Inverse Functions Section 8. Inverse Functions 803 8. Inverse Functions As we saw in the last section, in order to solve application problems involving eponential unctions, we will need to be able to solve eponential equations

More information

Magnetic Fields Part 3: Electromagnetic Induction

Magnetic Fields Part 3: Electromagnetic Induction Magnetic Fields Part 3: Electromagnetic Induction Last modified: 15/12/2017 Contents Links Electromagnetic Induction Induced EMF Induced Current Induction & Magnetic Flux Magnetic Flux Change in Flux Faraday

More information

Minimum Jet Velocity for Unbounded Domain Fluidization as a New Dredging Methods

Minimum Jet Velocity for Unbounded Domain Fluidization as a New Dredging Methods Article Minimum Jet Velocity or Unbounded Domain Fluidization as a New Dredging Methods Muhammad Arsyad Thaha,a, Radianta Triatmadja,b,*, Nur Yuwono, and Nizam Department o Ciil Engineering, Hasanuddin

More information

The Deutsch-Jozsa Problem: De-quantization and entanglement

The Deutsch-Jozsa Problem: De-quantization and entanglement The Deutsch-Jozsa Problem: De-quantization and entanglement Alastair A. Abbott Department o Computer Science University o Auckland, New Zealand May 31, 009 Abstract The Deustch-Jozsa problem is one o the

More information

ROBUST STABILITY AND PERFORMANCE ANALYSIS OF UNSTABLE PROCESS WITH DEAD TIME USING Mu SYNTHESIS

ROBUST STABILITY AND PERFORMANCE ANALYSIS OF UNSTABLE PROCESS WITH DEAD TIME USING Mu SYNTHESIS ROBUST STABILITY AND PERFORMANCE ANALYSIS OF UNSTABLE PROCESS WITH DEAD TIME USING Mu SYNTHESIS I. Thirunavukkarasu 1, V. I. George 1, G. Saravana Kumar 1 and A. Ramakalyan 2 1 Department o Instrumentation

More information

Towards Green Distributed Storage Systems

Towards Green Distributed Storage Systems Towards Green Distributed Storage Systems Abdelrahman M. Ibrahim, Ahmed A. Zewail, and Aylin Yener Wireless Communications and Networking Laboratory (WCAN) Electrical Engineering Department The Pennsylania

More information

Section 6: PRISMATIC BEAMS. Beam Theory

Section 6: PRISMATIC BEAMS. Beam Theory Beam Theory There are two types of beam theory aailable to craft beam element formulations from. They are Bernoulli-Euler beam theory Timoshenko beam theory One learns the details of Bernoulli-Euler beam

More information

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Digital Integrated Circuits A Design Perspective. Arithmetic Circuits. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Arithmetic Circuits January, 2003 1 A Generic Digital Processor MEM ORY INPUT-OUTPUT CONTROL DATAPATH

More information

Residue Number Systems Ivor Page 1

Residue Number Systems Ivor Page 1 Residue Number Systems 1 Residue Number Systems Ivor Page 1 7.1 Arithmetic in a modulus system The great speed of arithmetic in Residue Number Systems (RNS) comes from a simple theorem from number theory:

More information

Scalar multiplication and algebraic direction of a vector

Scalar multiplication and algebraic direction of a vector Roberto s Notes on Linear Algebra Chapter 1: Geometric ectors Section 5 Scalar multiplication and algebraic direction of a ector What you need to know already: of a geometric ectors. Length and geometric

More information

Supplementary material for Continuous-action planning for discounted infinite-horizon nonlinear optimal control with Lipschitz values

Supplementary material for Continuous-action planning for discounted infinite-horizon nonlinear optimal control with Lipschitz values Supplementary material or Continuous-action planning or discounted ininite-horizon nonlinear optimal control with Lipschitz values List o main notations x, X, u, U state, state space, action, action space,

More information

Mitsuru Matsui , Ofuna, Kamakura, Kanagawa, 247, Japan. which are block ciphers with a 128-bit key, a 64-bit block and a variable

Mitsuru Matsui , Ofuna, Kamakura, Kanagawa, 247, Japan. which are block ciphers with a 128-bit key, a 64-bit block and a variable New Block Encryption Algorithm MISTY Mitsuru Matsui Inormation Technology R&D Center Mitsubishi Electric Corporation 5-1-1, Ouna, Kamakura, Kanagawa, 247, Japan matsui@iss.isl.melco.co.jp Abstract. We

More information

Design and Implementation of Carry Tree Adders using Low Power FPGAs

Design and Implementation of Carry Tree Adders using Low Power FPGAs 1 Design and Implementation of Carry Tree Adders using Low Power FPGAs Sivannarayana G 1, Raveendra babu Maddasani 2 and Padmasri Ch 3. Department of Electronics & Communication Engineering 1,2&3, Al-Ameer

More information

Parameters Identification of Equivalent Circuit Diagrams for Li-Ion Batteries

Parameters Identification of Equivalent Circuit Diagrams for Li-Ion Batteries Parameters Identification of Equialent Circuit Diagrams for Li-Ion eries Ahmad ahmoun, Helmuth Biechl Uniersity of Applied ciences Kempten Ahmad.ahmoun@stud.fh-empten.de, biechl@fh-empten.de Abstract-eries

More information

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design Harris Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158

More information

v v Downloaded 01/11/16 to Redistribution subject to SEG license or copyright; see Terms of Use at

v v Downloaded 01/11/16 to Redistribution subject to SEG license or copyright; see Terms of Use at The pseudo-analytical method: application of pseudo-laplacians to acoustic and acoustic anisotropic wae propagation John T. Etgen* and Serre Brandsberg-Dahl Summary We generalize the pseudo-spectral method

More information

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Performance, Power & Energy ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Recall: Goal of this class Performance Reconfiguration Power/ Energy H. So, Sp10 Lecture 3 - ELEC8106/6102 2 PERFORMANCE EVALUATION

More information

VISUAL PHYSICS ONLINE RECTLINEAR MOTION: UNIFORM ACCELERATION

VISUAL PHYSICS ONLINE RECTLINEAR MOTION: UNIFORM ACCELERATION VISUAL PHYSICS ONLINE RECTLINEAR MOTION: UNIFORM ACCELERATION Predict Obsere Explain Exercise 1 Take an A4 sheet of paper and a heay object (cricket ball, basketball, brick, book, etc). Predict what will

More information

Residual migration in VTI media using anisotropy continuation

Residual migration in VTI media using anisotropy continuation Stanford Exploration Project, Report SERGEY, Noember 9, 2000, pages 671?? Residual migration in VTI media using anisotropy continuation Tariq Alkhalifah Sergey Fomel 1 ABSTRACT We introduce anisotropy

More information

TRAVELING WAVES. Conceptual Questions v a v b v c. Wave speed is independent of wave amplitude (a)

TRAVELING WAVES. Conceptual Questions v a v b v c. Wave speed is independent of wave amplitude (a) TRAVELING WAVES 0 Conceptual Questions 0.1. a b c. Wae speed is independent o wae amplitude. 0.. (a) T T T 0 cm/s (b) 100 cm/s 4 T 4m (c) cm/s (d) so the speed is unchanged: 00 cm/s. /4 4L 0.3. The constant

More information

Z transform elements Part 1:

Z transform elements Part 1: Z nsform elements Part 1: About the writer: Harey Morehouse is a conctor/consultant with many years of experience using circuit analysis programs. His primary actiities are in Reliability, Safety, Testability

More information

( x) f = where P and Q are polynomials.

( x) f = where P and Q are polynomials. 9.8 Graphing Rational Functions Lets begin with a deinition. Deinition: Rational Function A rational unction is a unction o the orm ( ) ( ) ( ) P where P and Q are polynomials. Q An eample o a simple rational

More information

Definition: Let f(x) be a function of one variable with continuous derivatives of all orders at a the point x 0, then the series.

Definition: Let f(x) be a function of one variable with continuous derivatives of all orders at a the point x 0, then the series. 2.4 Local properties o unctions o several variables In this section we will learn how to address three kinds o problems which are o great importance in the ield o applied mathematics: how to obtain the

More information

Chapter 4: Properties of Pure Substances. Pure Substance. Phases of a Pure Substance. Phase-Change Processes of Pure Substances

Chapter 4: Properties of Pure Substances. Pure Substance. Phases of a Pure Substance. Phase-Change Processes of Pure Substances Chapter 4: roperties o ure Substances ure Substance A substance that has a ixed chemical composition throughout is called a pure substance such as water, air, and nitrogen A pure substance does not hae

More information

Unit 8 Problem Solutions

Unit 8 Problem Solutions Unit 8 Problem Solutions Unit 8 Solutions 8. W X Y V Z 5 5 2 25 3 35 4 t (ns) 8.2 (a) = '' + + ' Static -hazards: and 8.2 (a) (contd) 8.2 (b) = ( + ') (+ + ) ( + + ') Static -hazards are: and t = '' +

More information

On High-Rate Cryptographic Compression Functions

On High-Rate Cryptographic Compression Functions On High-Rate Cryptographic Compression Functions Richard Ostertág and Martin Stanek Department o Computer Science Faculty o Mathematics, Physics and Inormatics Comenius University Mlynská dolina, 842 48

More information

LINEAR SUPERPOSITION AND INTERFERENCE PHENOMENA

LINEAR SUPERPOSITION AND INTERFERENCE PHENOMENA CHAPTER 7 THE PRINCIPE OF INEAR SUPERPOSITION AND INTERFERENCE PHENOMENA ANSWERS TO FOCUS ON CONCEPTS QUESTIONS. (d) I we add pulses and 4 as per the principle o linear superposition, the resultant is

More information

Parallel Numerics. Scope: Revise standard numerical methods considering parallel computations!

Parallel Numerics. Scope: Revise standard numerical methods considering parallel computations! Parallel Numerics Scope: Revise standard numerical methods considering parallel computations! Required knowledge: Numerics Parallel Programming Graphs Literature: Dongarra, Du, Sorensen, van der Vorst:

More information

An Optimal Split-Plot Design for Performing a Mixture-Process Experiment

An Optimal Split-Plot Design for Performing a Mixture-Process Experiment Science Journal of Applied Mathematics and Statistics 217; 5(1): 15-23 http://www.sciencepublishinggroup.com/j/sjams doi: 1.11648/j.sjams.21751.13 ISSN: 2376-9491 (Print); ISSN: 2376-9513 (Online) An Optimal

More information

Algebraic Derivation of the Oscillation Condition of High Q Quartz Crystal Oscillators

Algebraic Derivation of the Oscillation Condition of High Q Quartz Crystal Oscillators Algebraic Deriation of the Oscillation Condition of High Q Quartz Crystal Oscillators NICOLAS RATIER Institut FEMTO ST, CNRS UMR 67 Département LPMO a. de l Obseratoire, 50 Besançon FRANCE nicolas.ratier@femto-st.fr

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Entropy solutions for a traffic model with phase transitions

Entropy solutions for a traffic model with phase transitions Entropy solutions or a traic model with phase transitions Mohamed Benyahia a, Massimiliano D Rosini b, a Gran Sasso Science Institute Viale F Crispi 7, 67100 L Aquila, Italy b Instytut Matematyki, Uniwersytet

More information

A Simple Explanation of the Sobolev Gradient Method

A Simple Explanation of the Sobolev Gradient Method A Simple Explanation o the Sobolev Gradient Method R. J. Renka July 3, 2006 Abstract We have observed that the term Sobolev gradient is used more oten than it is understood. Also, the term is oten used

More information

Telescoping Decomposition Method for Solving First Order Nonlinear Differential Equations

Telescoping Decomposition Method for Solving First Order Nonlinear Differential Equations Telescoping Decomposition Method or Solving First Order Nonlinear Dierential Equations 1 Mohammed Al-Reai 2 Maysem Abu-Dalu 3 Ahmed Al-Rawashdeh Abstract The Telescoping Decomposition Method TDM is a new

More information

Phase Changes Heat must be added or removed to change a substance from one phase to another. Phases and Phase Changes. Evaporation

Phase Changes Heat must be added or removed to change a substance from one phase to another. Phases and Phase Changes. Evaporation Applied Heat Transer Part One (Heat Phase Changes Heat must be added or remoed to change a substance rom one phase to another. Ahmad RAMAZANI S.A. Associate Proessor Shari Uniersity o Technology انتقال

More information

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory L16: Power Dissipation in Digital Systems 1 Problem #1: Power Dissipation/Heat Power (Watts) 100000 10000 1000 100 10 1 0.1 4004 80088080 8085 808686 386 486 Pentium proc 18KW 5KW 1.5KW 500W 1971 1974

More information

School of EECS Seoul National University

School of EECS Seoul National University 4!4 07$ 8902808 3 School of EECS Seoul National University Introduction Low power design 3974/:.9 43 Increasing demand on performance and integrity of VLSI circuits Popularity of portable devices Low power

More information