School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian , China

Size: px
Start display at page:

Download "School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian , China"

Transcription

1 Plasma Science and Technology, Vol.14, No.1, Jan Feature Profile Evolution During Etching of SiO 2 in Radio-Frequency or Direct-Current Plasmas ZHAO Zhanqiang ( ), DAI Zhongling ( ), WANG Younian ( ) School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian , China Abstract We have developed a plasma etching simulator to investigate the evolution of pattern profiles in SiO 2 material under different plasma conditions. This model focuses on energy and angular dependent etching yield (physical sputtering in this paper), neutral and ion angular distributions, and reflection of ions or neutrals on the surface of a photoresist or SiO 2. The effect of positive charge accumulation on the surface of insulated mask or SiO 2 is studied and the charge accumulation contributes to a deflection of ion trajectory. The wafer profile evolution has been simulated using a cellular-automata-like method under radio-frequency (RF) bias and direct-current (DC) bias, respectively. On the basis of the critical role of angular distribution of ions or neutrals, the wafer profile evolution has been simulated for different variances of angles. Observed microtrenching has been well reproduced in the simulator. The ratio of neutrals to ions has been considered and the result shows that because the neutrals are not accelerated by an electric field, their energy is much lower compared with ions, so they are easily reflected on the surface of SiO 2, which makes the trench shallower. Keywords: profile evolution, RF bias, reflection, etching yield PACS: Kh, Kj doi: / /14/1/14 1 Introduction Topography evolution during etching of semiconductor devices is extremely important in the manufacture of large scale integrated circuits, the successful application of plasma etching technologies has induced a drastic change in the manufacturing process of large scale integrations (LSIs) from the conventional liquid-based wet process within a fairly short period. These changes reduce the number of steps in the manufacturing process, and thus reduce the manufacturing cost of the devices [1]. One of the most basic steps in integrated circuit (IC) manufacturing is the deposition of silicon dioxide (SiO 2 ) on a wafer. Subsequent removal of all or part of this oxide layer is critical to device fabrication. But understanding of the origin of profile characteristics has fell behind for ultralarge-scale integration (ULSI) [2]. Therefore, a fundamental understanding of mechanisms that affect profile evolution is necessary [3 7]. The bias voltage of the etchable substrate surface is an extremely important factor during the evolution of feature profile, which allows the determination of etching behavior at different ion bombardment energies. In the etching of a nanometer-scale trench, local charge accumulation is one of the origins of anomalous process. The influence of a local charging on the etching rate of SiO 2 is very important in an arranged trench pattern, and the angular distribution of ions or neutrals must be discussed from the viewpoint of the local charging [8,9]. Experimental evidence of bottom charging in arranged holes during etching is demonstrated in Ar and CF4 plasma [10,11]. Self-consistent modeling of a feature profile evolution which results from a topographical charging on the SiO 2 insulator wall has been proposed in a simple surface process with fluorocarbon radical accumulation in a 2f- CCP etcher in previous works [12 14]. The notching phenomenon describes the opening of a notch in a conductive material at the interface with an underlying insulator. ARNOLD and SAWIN [15] gave an explanation that the electric fields induced distortion of ion trajectories and the local electric fields were formed as a result of microstructure charging brought about by the directionality difference between ions and electrons at the wafer surface. OOTERA [16] considered the energy distribution of electrons arriving at the microstructure but neglected the ion energy distribution and the effect of an oscillating sheath. Thus, because of the importance of the sheath in the etching processes, it is necessary to study feature profile evolution by considering not only ratio of neutrals to ions, and incident angles of ions, but also the characteristics of the sheath. In this paper, we investigate the evolution of pattern profiles by combining a sheath model with a trench model. We will present the profile evolution with the ratio of neutrals to ions, variance of angle, and the energy of ions or neutrals taken into consideration. The supported by National Natural Science Foundation of China (Nos and ), and the Important National Science and Technology Specific Project of China (No. 2011ZX )

2 ZHAO Zhanqiang et al.: etching of SiO 2 is based on the etching yield model previously described for the Cl etching of polysilicon, which is an empirical method. The paper is outlined as follows: Section 2 describes a self-consistent sheath model and etching profile evolution simulation model. Section 3 presents some results and relevant discussions, including the characteristics of incident angle, the ratio of neutrals to ions, and the bias amplitude. Finally, the conclusion is given in section 4. 2 Model The sequence of microscopic reaction steps is very important in plasma etching. Electrons can be accelerated by electric fields (E-field) such as DC, RF, or microwave E-fields and collide with suitable precursor molecules to make them ionize to produce ions and radicals [17 19]. Consequently, an electron-free spacecharge region forms between a plasma and a contacting solid surface, which is designated as a sheath. Sheaths [4,15] are critically important for plasma etching. The reason is that positive ions are accelerated toward the surface when entering a sheath. Most of the ion energy is obtained due to the acceleration in the sheath E-fields established by the self-biasing wafer chuck. The accelerated ions bombard the surface with bombardment energy much greater than the thermal energy. The fabrications of high-speed semiconductor circuits are dependent on etching submicrometer trenches and holes with straight walls, which are determined by sheath-accelerated ions that strike the collector at a normal angle. Electrons enter the sheath with an isotropic angular distribution. In addition, the ions are accelerated in the presheath to the Bohm velocity and the potential at this position is considered to be equal to 0. The velocities of ions in the direction perpendicular to the wafer grow larger than the thermal velocities of ions in the parallel direction. This initial directional difference grows greater as the particles traverse across the sheath. Finally, ions with energy of tens of volts or more through the acceleration of E- fields bombard the trench bottom. At the same time, the electrons, which are still in an isotropic Maxwellian distribution, are decelerated in the sheath, and eventually most of them are returned to the plasma. Only a few electrons through the sheath edge arrive at the wafer surface where they charge the nonconductive photoresist to the floating potential. The electrons entering the trench are few, whereas the ions deposit positive charges onto the trench bottom and make the etching happen. In this paper, we use a self-consistent sheath model [20] which includes the time-dependent terms in ion fluid equations and an equivalent voltage equation. We think, in a low pressure plasma, it is reasonable to neglect the collision effects in the sheath [21,22]. Also, we can neglect the ion thermal motion effects since the ion temperature is much smaller than the directional Feature Profile Evolution During Etching of SiO 2 in RF or DC Plasmas kinetic energy in the sheath region. Thus, the onedimensional spatiotemporal variation of the ion density n i (x, t), the ion drift velocity u i (x, t), and the electric potential inside the sheath, V (x, t), are described by the cold ion fluid equations, n i t + (n iu i ) = 0, (1) x u i t + u u i i x = e V m i x, (2) where m i is the ion mass, e is the electronic charge, and the Poisson equation, 2 V x 2 = e ε 0 (n i n e ), (3) where ε 0 is the permittivity of free space, n e (x, t) is the electron density, and V (x, t) is the electric potential [25]. We can consider that the electrons are inertialess and the electron density in the sheath is given by a Boltzmann distribution ( ) ev (x, t) n e (x, t) = n 0 exp, (4) k B T e where n 0 is the plasma density, T e is the electron temperature, and k B is the Boltzmann constant. In the model, a RF source is applied to the electrode and the voltage on the electrode can be expressed as V e (t) = V dc + V L sin(ωt), (5) where V e (t) is instantaneous voltage on the electrode, V dc is the self-bias voltage, and V L is the voltage amplitude of the source. For insulating substrates, the charges accumulated on the substrate surface should involve both the ion current and the electron current Q(t) = t 0 [I i (τ) I e (τ)]dτ, (6) where I i (τ) and I e (τ) are the ion and electron currents, respectively [22], and Q(t) is the charge. The sum of the voltage induced by the accumulated charges on the surface of the insulator is given by V s (t) = V e (t) + Q(t) C f, (7) where V s (t) is the voltage on the surface of the insulator, C f = κε 0 A/d f is the effective capacitance of the insulating substrate, and d f is the thickness of the insulating substrate. To solve Eqs. (1) (7), we need to choose appropriate boundary conditions and assume that the plasma-sheath boundary is at the location x = d s (t) (in Fig. 1). The ion density should be equal to the electron density: i.e, the quasi-neutral condition n i (d s, t) = n e (d s, t). In addition, we also assume that ions enter the sheath with a velocity equal to the Bohm velocity u B = k B T e /m i, u i (d s, t) = u B. (8) 65

3 Plasma Science and Technology, Vol.14, No.1, Jan Fig.1 Schematic diagram of the sheath model Finally, we assume that the potential at the sheath edge is approximately zero, i.e. V (d s, t) = 0, (9) and take the value of the potential at the insulating substrate (x = 0) to be V (0, t) = V s (t). (10) The closed set of nonlinear Eqs. (1) (7) which determine the spatiotemporal dependence of the radio-frequency sheath with the boundary conditions (8) (10) will be solved numerically by using a finite difference scheme with an iterative process [23 25]. The iteration is repeated until the solutions converge to a self-consistent periodic steady state. Through above calculations, we can get the energy of ions on the insulator and assume the angles of ions have a Gaussian distribution. The particles incident angles are determined by randomly sampling from their respective distribution functions: Gaussian angular distributions for ions and neutrals. Consequently, we can simulate the evolution of etching feature using the ions which arrive at the insulator. In our simulation of etching feature evolution, the two-dimensional region used for computations is shown in Fig. 2. A conducting collector of SiO 2, which represents the substrate being etched, is at Fig.2 The schematic diagram of computation region. The wafer is SiO 2. Ions and electrons are emitted from the sheath at the top the bottom of the trench. Ions are accelerated by the sheath electric field (E-field) toward the dielectric block, and the surface they strike first is often photoresist. The photoresist surface and the trench walls are divided into small cells. The dielectric has width 2L=200 and the height is H = 900, while the depth of photoresist is D = 280, and the aspect ratio is AR = D/2W. Because the trenches are much smaller than both the Debye lengths and sheath, scale invariance justifies the use of the simpler Laplace equation rather than the Possion equation, to describe particle trajectories in the model. The dimensionless Laplace equation is 2 V = 0, (11) so we can get the potential in the trench using an iteration u (k+1) i,j = 1 ( ) u (k) i+1,j 4 + u(k+1) i 1,j + u(k) i,j+1 + u(k+1) i,j 1, (12) where u i,j is the potential of a point of the grid, k is a point of time, and i and j is a point of the grid. For insulating substrates, we can use Eq. (3) to get the potential of the substrate. Using the Boltzmann relation of electrons and equating the ion and electron fluxes n i v i = n e v e, (13) where n s = n i = n e at the sheath edge by definition. When ions strike the surface of a photoresist cell or SiO 2, we can get their potential [12] V i,j = T ev [ln(f i,j 2L/ s) 4.68], (14) where 2L is the width of the dielectric, s is the scale of one cell, and F i,j = N i,j /N is the fraction of all ions that end up in one cell. The Laplace solver is used to calculate the 2D electric fields. Motions of ions and electrons in the simulation domain will be influenced by local electric fields. The time-independent trajectory of each ion emitted from the sheath is then computed in this electric field. The simulation of ion transport through the sheath depends on a stochastic generation of ions at the ion sheath-plasma boundary (sheath edge), so the trajectory of the particle is computed using only the x and y components and is followed by the Newton equations of motion in the 2D plane: M dv x dt = qe x(t), M dv y dt = qe y(t) (15) where M and q are the mass and charges of particle, and E x (t) and E y (t) are the transverse and parallel components of the electric field, defined with respect to the wafer surface normal. For ions the q is 1. When an ion impacts a target cell (a plasma-material in-interface cell, for example), the cell neighborhood is examined. Within a circle of radius R = 3 2 centered on the target cell (in Fig. 3), we consider the four cells adjacent to the target, i.e. down, up, right, and left cells, and using the method of least square, we can fit a straight line with the direction of l = (l 1, l 2 ). Among such cells, all these that are plasma-material interface and their 66

4 ZHAO Zhanqiang et al.: Feature Profile Evolution During Etching of SiO2 in RF or DC Plasmas Using the above group of equations, we can get b ± b2 4ac j2 =, (21) j = t t j2a j2. We can see j1 that there are two values from the group of equations, but one of them is in accordance with the incident direction, which we will omit, the other one is what we need. When l1 = 0, the reflected direction is reverse to the incident direction. When the trajectory intersects a surface of one cell, its contribution to Ni,j is counted. We can use the potential of each cell and use it in the first iteration. The trajectories of ions can be recalculated until Vi,j converges to steady value. In this model, all collisions, secondary emission, and surface currents are neglected, and we assume that the ions only bombard the surface. Then, the etching yield can be estimated. Under these assumptions, we have used a surface kinetic model involving two simulation parameters: the direct inelastic scattering (DIS) probability (Pd ) and the relative etching yield (Y ) on the surface. These probabilities can be expressed in terms of the incident energy (Ei ) and angle (θi ) as follows [26] : p π (22) Pd = 1 C1 Ei cos( θi ), 2 p p Y = C2 ( Ei Eth )(1 Pd ), (23) So the angle of reflection is θr = arctan Fig.3 The schematic diagram of reflections of ions or neutrals on the surface of photoresist or SiO2 centers coordinates are stored. A linear fit of these data points provides the local slope. When ions interact with the surface of SiO2, there are two possibilities. They either are reflected from the surface or interact with the materials according to the etching yield (largely physical sputtering in this article). To decide which process is concerned in a specific case, the incident angle is compared to the local slope at the target cell position. When the ions are reflected by the surface there are no energy losses. In the simulation, we consider that the ions with incident angles above 80o from normal are reflected without etching. Following above concerns, we can determine the direction of incident particle ~i = (i1, i2 ) = (vx, xy ), where ii and i2 are the direction vector of incident direction. So the incident vy angle is θi = arctan, using horizontal and vertical vx velocity vx and xy respectively. During the process of reflection, we assume the direction of reflected ion to be ~j = (j1, j2 ), where j1 and j2 are the direction vector of reflection direction. Incident ions comply with specular law of reflection, and the inner product between incident and plane direction vector is equal to the one between reflected and plane direction vector. i1 l1 + i2 l2 = j1 l1 + j2 l2, (17) where C1 and C2 are adjustable parameters and Ei (ev) is an energy threshold, which is 10 ev in this article. Pd should be between 0 and 1, if the value at the right hand side of Eq. (3) is beyond this range, Pd is set to be 0. C1 = 0.25, C2 = 0.13, and θi is the incident angle of an ion. In the simulation, we only consider reflections of ions from the photoresist and wafer. With the evolution of etching topography, we use a cellular automata like method to simulate the profile evolution: we consider that every cell has 150 atoms, which could be lost when particles come into and go out; when the number of atoms of a cell becomes zero, a boundary cell becomes a vacuum region cell. When a cell doesn t lose all the atoms, we assume that the ions will accumulate on the surface of SiO2 and the fields which are affected by the accumulation of ions can be calculated, and when a cell loses all atoms, the charges are neglected. ~ ~ i = j. (18) 3 ~i ~l = ~j ~l, (16) using this equation, we can get and we know Using Eqs. (17) and (18), we can get a group of equations i1 l1 + i2 l2 = j1 l1 + j2 l2, (19) i21 + i22 = j12 + j22, (20) when l1 6= 0, we can let t1 = i1 + i2 1 + t22, b = 2t1 t2, c = t21 i21 i22. l2 l2 t2 =, a = l1 l1 Results and discussion In this paper, we numerically investigate the influence of reflections of ions, RF bias, DC bias, aspect ratio, the ratio of neutrals to ions, and the variance of incident angle on the evolution of the etching profile. Reflection of particles from surfaces of photoresists and SiO2 plays a critical role in the evolution of etching topography. Besides, as the etching evolves, more SiO2 surfaces are exposed to be bombarded by ions, which results in charge accumulation there. The charging will 67

5 Plasma Science and Technology, Vol.14, No.1, Jan change the local electric field in the etched area and affect ion motion in the vicinity of the area. It is shown that this local electric field perturbation has a profound effect on the notch shape and depth. In order to simplify the calculation, we assume this transient charging of the SiO2 surface is local and will not affect significantly the steady-state electric field in the trench area or the equipotential of SiO2. Since the notch is small and shadowed from the plasma, the number of electrons which arrive at the SiO2 surface must be small and will be neglected in our calculation. Now we discuss the situation where a SiO2 wafer is under DC bias, as shown in Fig. 4, and the reflection is not considered. The amplitude of voltage is Ve = 200 V, 400 V, 600 V respectively. It can be noticed that with an increase involtage amplitude, the depth of trench becomes deeper correspondingly, and because the reflection is not considered on the surface of SiO2, the angle distribution of ion plays a dominating role at this moment. So with increasing voltage, the transverse direction of trench becomes wider and wider. sequently, we can see from the figure that the profile under the RF bias is smoother. Fig.5 The evolution of feature profile with consideration of the reflection at different amplitudes of voltage (a) 50 V, (b) 80 V, (c) 200 V under direct-current (DC) bias Fig.6 The evolution of feature profile with consideration of the reflection at different amplitudes of voltage (a) 50 V, (b) 80 V, (c) 200 V under radio-frequency (RF) bias Fig.4 The evolution of feature profile without consideration of the reflection at different amplitudes of voltage (a) 200 V, (b) 400 V, (c) 600 V under direct-current (DC) bias On the basis of Fig. 4, we take into consideration the reflection of ions on the surface of SiO2 in Fig. 5. To some extent, the reflection balances the angle distribution of ions, and the width of the trench is not as wide as that shown in Fig. 4. Because photoresist charging is considered, the electric field near the entrance is strong enough and causes a large deflection of ions. Consequently, the etching rate is higher in the middle of the trench, with the increase of amplitude of bias, the depth of trench becomes deeper, and during this process, the charges of surface of SiO2 play a role in altering the trajectories of ions. So when the etching continues, the trench becomes slightly wider. But the amplitude of bias plays the primary role. In order to describe the difference in etching between RF bias and DC bias, we simulate the evolution of feature profile under RF bias in Fig. 6. Because under the RF bias, there will be a few low-energy ions, whose velocities along vertical direction are smaller, and these ions can easily be reflected on the surface of SiO2. Con68 The feature profile evolution with different ratio of neutrals to ions is shown in Fig. 7, where neutrals are introduced at a source plane above the top of feature, and the ratio of neutrals to ions is σ = 0, 0.5, 0.7 respectively. It can be noticed that with the increase of ratio of neutrals to ions, the depth of trench becomes much shallower and the profiles are much smoother. The reason is that the neutral particles are not accelerated by electric field, whose energy is smaller than that of ions, thus etching yields are smaller. Fig.7 The evolution of feature profile with consideration of the reflection at different ratio of neutrals to ions (a) 0 (b) 0.5 (c) 0.7 at the amplitude of voltage 80 V under direct-current (DC) bias

6 ZHAO Zhanqiang et al.: We make a comparison between DC bias and RF bias under the same conditions about ratio of neutrals to ions in Fig. 8. Feature Profile Evolution During Etching of SiO 2 in RF or DC Plasmas Fig.8 The evolution of feature profile with consideration of the reflection at different ratio of neutrals to ions (a) 0, (b) 0.5, (c) 0.7 at the amplitude of voltage 80 V under radio-frequency (RF) bias In order to perfectly consider the influence of the angle distribution of ions on the profile evolution under DC and RF bias, we conduct specific simulations for different incident angles, α=5, 10, 15 respectively, as shown in Figs. 9 and 10. When α increases, the reflections become more frequent and the notches becomes more evident. By comparing respectively Fig. 7 with Fig. 8 and Fig. 9 with Fig. 10, it can be seen that the bottom of the trench is smoother and has better uniformity under RF bias, which is due to the difference in the charging effect and the ion energy between DC bias and RF bias. Fig.10 The evolution of feature profile with consideration of the reflection for different incident angles (a) 5 (b) 10 (c) 15 at the amplitude of voltage 80 V under radio-frequency (RF) bias of ions or neutrals plays a dominant role in the evolution of feature profile. Different ion energy will result in a different etching rate. The angle distribution of ions or neutrals also plays a critical role in the evolution of feature profile, and for various incident angles, we can know that as the angle becomes slightly bigger, it will easily lead to a microtrench. Under RF bias, there will be some low-energy ions, which will result in smoother trench. However, the effect of specific plasma chemistry is not taken into consideration in this paper, which is of a rather general character with regard to any ions present in the plasma. In further study, we will focus on ion-assisted chemical etching, taking into account chemical reactions, different driven source-wave forms and reactor scales. In addition, the aspect ratio (depth/width) and the collisions between ions and neutrals in the sheath are very important parameters during wafer profile evolution, which will be considered in the future. References Fig.9 The evolution of feature profile with consideration of the reflection for different incident angles (a) 5, (b) 10, (c) 15 at the amplitude of voltage 80 V under direct-current (DC) bias 4 Conclusion We have present a self-consistent sheath model and a 2D numerical simulation of etching profile evolution of SiO 2, and feature profile evolution under different conditions is obtained by this simulation. The etching artifacts under RF bias are characterized and discussed. If the reflection is not considered, the angle distribution 1 Abe H, Yoneda M, Fujiwara N. 2008, Jpn. J. Appl. Phys., 47: 1435S 2 Hwang G S, Giapis K P. 1997, J. Vac. Sci. Technol. B, 15: 70 3 Lieberman M A, Lichtenberg A J. 2005, Principles of Plasma Discharges, Materials Processing. 2nd edition, Wiley, New York 4 Oehrlein G S, Doemling M F, Kastenmeier B E E, et al. 1999, IBM J. Res. Develop., 43: Robiche J, Boyle P C, Turner M M, et al. 2003, J. Phys. D: Appl. Phys., 36: Armacost M, Hoh P D, Wise R, et al. 1999, IBM J. Res. Develop., 43: 39 7 Arikado T, Horioka K, Sekine M, et al. 1988, Jpn. J. Appl. Phys., 27: 95 8 Matsui J, Nakano N, Petrovic Z L, et al. 2001, Appl. Phys. Lett., 78: Ohmori T, Makabe T. 2008, Applied Surface Science, 254: Ohmori T, Goto T K, Kitajima T, et al. 2003, Appl. Phys. Lett., 83:

7 Plasma Science and Technology, Vol.14, No.1, Jan Ohmori T, Goto T K, Kitajima T, et al. 2005, Jpn. J. Appl. Phys., 44: L Madziwa-Nussinov T G, Arnush D, Chen F F. 2008, Phys. Plasma, 15: Nozawa T, Kinoshita T, Nishizuka T, et al. 1995, Jpn. J. Appl. Phys., 34: Fujiwara N, Maruyama T, Yoneda M. 1995, 1996, Jpn. J. Appl. Phys., 34: 2095; 35: Arnold J C, Swain H H. 1991, J. Appl. Phys., 70: Ootera H, Oomori T, Tuda M, et al. 1994, Jpn. J. Appl. Phys., 33: Madziwa-Nussinov T G, Arnush D, Chen F F. 2007, IEEE Trans. Plasma Sci., 35: Saussac J, Margot J, Chaker M. 2009, J. Vac. Sci. Technol. A, 27: Chi K K, Shin H S, Yoo W J, et al. 1996, Jpn. J. Appl. Phys., 35: Wang L H, Dai Z L, Wang Y N. 2005, Chin. Phys. Lett., 23: Dai Z L, Wang Y N. 2004, Phys. Rev. E, 69: Dai Z L, Wang Y N, Ma T C. 2002, Phys. Rev. E, 65: Dai Z L, Wang Y N. 2003, Surf. Coat. Technol., 165: Dai Z L, Liu C S, Wang Y N. 2008, Chin. Phys. Lett., 25: Dai Z L, Xu X, Wang Y N. 2007, Phys. Plasma, 14: Mathad G S, Misra D, Sundaram K B. 1998, Plasma Processing XII. Pennington, New Jersey (Manuscript received 6 August 2011) (Manuscript accepted 2 November 2011) address of corresponding author DAI Zhongling: daizhl@dlut.edu.cn 70

Simulation of a two-dimensional sheath over a flat wall with an insulatorõconductor interface exposed to a high density plasma

Simulation of a two-dimensional sheath over a flat wall with an insulatorõconductor interface exposed to a high density plasma JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 5 1 SEPTEMBER 2003 Simulation of a two-dimensional sheath over a flat wall with an insulatorõconductor interface exposed to a high density plasma Doosik Kim

More information

Simulation of a two-dimensional sheath over a flat insulator conductor interface on a radio-frequency biased electrode in a high-density plasma

Simulation of a two-dimensional sheath over a flat insulator conductor interface on a radio-frequency biased electrode in a high-density plasma JOURNAL OF APPLIED PHYSICS VOLUME 95, NUMBER 7 1 APRIL 2004 Simulation of a two-dimensional sheath over a flat insulator conductor interface on a radio-frequency biased electrode in a high-density plasma

More information

Ion orbits in plasma etching of semiconductors ABSTRACT

Ion orbits in plasma etching of semiconductors ABSTRACT 1 Ion orbits in plasma etching of semiconductors Tsitsi G. Madziwa-Nussinov a), Donald Arnush b), and Francis F. Chen c) Electrical Engineering Department, University of California, Los Angeles, CA, 995-1594

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects

Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 31, NO. 4, AUGUST 2003 703 Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects Hye Sang Park, Sung Jin Kim,

More information

On the origin of the notching effect during etching in uniform high density plasmas

On the origin of the notching effect during etching in uniform high density plasmas On the origin of the notching effect during etching in uniform high density plasmas Gyeong S. Hwang and Konstantinos P. Giapis a) Division of Chemistry and Chemical Engineering, California Institute of

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Korean J. Chem. Eng., 0(), 407-413 (003) Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Jung-Hyun Ryu, Byeong-Ok Cho, Sung-Wook Hwang, Sang Heup Moon and Chang-Koo Kim*

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen

PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen HAN Qing ( ), WANG Jing ( ), ZHANG Lianzhu ( ) College of Physics Science and Information Engineering, Hebei Normal University,

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Effect of surface roughness of the neutralization grid on the energy and flux of fast neutrals and residual ions extracted from a neutral beam source

Effect of surface roughness of the neutralization grid on the energy and flux of fast neutrals and residual ions extracted from a neutral beam source Effect of surface roughness of the neutralization grid on the energy and flux of fast neutrals and residual ions extracted from a neutral beam source Alok Ranjan Plasma Processing Laboratory, Department

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

MULTIPACTOR ON A DIELECTRIC SURFACE WITH LONGITUDINAL RF ELECTRIC FIELD ACTION

MULTIPACTOR ON A DIELECTRIC SURFACE WITH LONGITUDINAL RF ELECTRIC FIELD ACTION Progress In Electromagnetics Research Letters, Vol. 24, 177 185, 211 MULTIPACTOR ON A DIELECTRIC SURFACE WITH LONGITUDINAL RF ELECTRIC FIELD ACTION F. Zhu *, Z. Zhang, J. Luo, and S. Dai Key Laboratory

More information

Copyright 1996, by the author(s). All rights reserved.

Copyright 1996, by the author(s). All rights reserved. Copyright 1996, by the author(s). All rights reserved. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Characteristics of Positive Ions in the Sheath Region of Magnetized Collisional Electronegative Discharges

Characteristics of Positive Ions in the Sheath Region of Magnetized Collisional Electronegative Discharges Plasma Science and Technology, Vol.6, No.6, Jun. 204 Characteristics of Positive Ions in the Sheath Region of Magnetized Collisional Electronegative Discharges M. M. HATAMI, A. R. NIKNAM 2 Physics Department

More information

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Lizhu Tong Keisoku Engineering System Co., Ltd., Japan September 18, 2014 Keisoku Engineering System Co., Ltd., 1-9-5 Uchikanda,

More information

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wes Lukaszek Wafer Charging Monitors, Inc. 127 Marine Road, Woodside, CA 94062 tel.: (650) 851-9313, fax.: (650) 851-2252,

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Abstract Engineering Systems follow recognized trends of evolution; the main parameters

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

arxiv: v1 [physics.plasm-ph] 10 Nov 2014

arxiv: v1 [physics.plasm-ph] 10 Nov 2014 arxiv:1411.2464v1 [physics.plasm-ph] 10 Nov 2014 Effects of fast atoms and energy-dependent secondary electron emission yields in PIC/MCC simulations of capacitively coupled plasmas A. Derzsi 1, I. Korolov

More information

One dimensional hybrid Maxwell-Boltzmann model of shearth evolution

One dimensional hybrid Maxwell-Boltzmann model of shearth evolution Technical collection One dimensional hybrid Maxwell-Boltzmann model of shearth evolution 27 - Conferences publications P. Sarrailh L. Garrigues G. J. M. Hagelaar J. P. Boeuf G. Sandolache S. Rowe B. Jusselin

More information

Simulation of the cathode surface damages in a HOPFED during ion bombardment

Simulation of the cathode surface damages in a HOPFED during ion bombardment Simulation of the cathode surface damages in a HOPFED during ion bombardment Hongping Zhao, Wei Lei, a Xiaobing Zhang, Xiaohua Li, and Qilong Wang Department of Electronic Engineering, Southeast University,

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Low Temperature Plasma Technology Laboratory

Low Temperature Plasma Technology Laboratory Low Temperature Plasma Technology Laboratory Equilibrium theory for plasma discharges of finite length Francis F. Chen and Davide Curreli LTP-6 June, Electrical Engineering Department Los Angeles, California

More information

Plasma Diagnosis for Microwave ECR Plasma Enhanced Sputtering Deposition of DLC Films

Plasma Diagnosis for Microwave ECR Plasma Enhanced Sputtering Deposition of DLC Films Plasma Science and Technology, Vol.14, No.2, Feb. 2012 Plasma Diagnosis for Microwave ECR Plasma Enhanced Sputtering Deposition of DLC Films PANG Jianhua ( ) 1, LU Wenqi ( ) 1, XIN Yu ( ) 2, WANG Hanghang

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Physique des plasmas radiofréquence Pascal Chabert

Physique des plasmas radiofréquence Pascal Chabert Physique des plasmas radiofréquence Pascal Chabert LPP, Ecole Polytechnique pascal.chabert@lpp.polytechnique.fr Planning trois cours : Lundi 30 Janvier: Rappels de physique des plasmas froids Lundi 6 Février:

More information

Contents: 1) IEC and Helicon 2) What is HIIPER? 3) Analysis of Helicon 4) Coupling of the Helicon and the IEC 5) Conclusions 6) Acknowledgments

Contents: 1) IEC and Helicon 2) What is HIIPER? 3) Analysis of Helicon 4) Coupling of the Helicon and the IEC 5) Conclusions 6) Acknowledgments Contents: 1) IEC and Helicon 2) What is HIIPER? 3) Analysis of Helicon 4) Coupling of the Helicon and the IEC 5) Conclusions 6) Acknowledgments IEC:! IEC at UIUC modified into a space thruster.! IEC has

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

The low-field density peak in helicon discharges

The low-field density peak in helicon discharges PHYSICS OF PLASMAS VOLUME 10, NUMBER 6 JUNE 2003 Francis F. Chen a) Electrical Engineering Department, University of California, Los Angeles, Los Angeles, California 90095-1597 Received 10 December 2002;

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

Angular anisotropy of electron energy distributions in inductively coupled plasmas

Angular anisotropy of electron energy distributions in inductively coupled plasmas JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 9 1 NOVEMBER 2003 Angular anisotropy of electron energy distributions in inductively coupled plasmas Alex V. Vasenkov a) and Mark J. Kushner b) Department of

More information

The Role of Secondary Electrons in Low Pressure RF Glow Discharge

The Role of Secondary Electrons in Low Pressure RF Glow Discharge WDS'05 Proceedings of Contributed Papers, Part II, 306 312, 2005. ISBN 80-86732-59-2 MATFYZPRESS The Role of Secondary Electrons in Low Pressure RF Glow Discharge O. Brzobohatý and D. Trunec Department

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

The Computational Simulation of the Positive Ion Propagation to Uneven Substrates

The Computational Simulation of the Positive Ion Propagation to Uneven Substrates WDS' Proceedings of Contributed Papers, Part II, 5 9,. ISBN 978-8-778-85-9 MATFYZPRESS The Computational Simulation of the Positive Ion Propagation to Uneven Substrates V. Hrubý and R. Hrach Charles University,

More information

Effect of a dielectric layer on plasma uniformity in high frequency electronegative capacitive discharges

Effect of a dielectric layer on plasma uniformity in high frequency electronegative capacitive discharges Effect of a dielectric layer on plasma uniformity in high frequency electronegative capacitive discharges Emi KawamuraDe-Qi WenMichael A. Lieberman and Allan J. Lichtenberg Citation: Journal of Vacuum

More information

Shapes of agglomerates in plasma etching reactors

Shapes of agglomerates in plasma etching reactors Shapes of agglomerates in plasma etching reactors Fred Y. Huang a) and Mark J. Kushner b) University of Illinois, Department of Electrical and Computer Engineering, 1406 West Green Street, Urbana, Illinois

More information

Influence of driving frequency on the metastable atoms and electron energy distribution function in a capacitively coupled argon discharge

Influence of driving frequency on the metastable atoms and electron energy distribution function in a capacitively coupled argon discharge Influence of driving frequency on the metastable atoms and electron energy distribution function in a capacitively coupled argon discharge S. Sharma Institute for Plasma Research, Gandhinagar -382428,

More information

Monte Carlo Collisions in Particle in Cell simulations

Monte Carlo Collisions in Particle in Cell simulations Monte Carlo Collisions in Particle in Cell simulations Konstantin Matyash, Ralf Schneider HGF-Junior research group COMAS : Study of effects on materials in contact with plasma, either with fusion or low-temperature

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

Thermal characterization of Au-Si multilayer using 3- omega method

Thermal characterization of Au-Si multilayer using 3- omega method Thermal characterization of Au-Si multilayer using 3- omega method Sunmi Shin Materials Science and Engineering Program Abstract As thermal management becomes a serious issue in applications of thermoelectrics,

More information

PLASMA immersion ion implantation (PIII) is a burgeoning

PLASMA immersion ion implantation (PIII) is a burgeoning IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 26, NO. 6, DECEMBER 1998 1669 Ion Dose Uniformity for Planar Sample Plasma Immersion Ion Implantation Dixon Tat-Kun Kwok, Paul K. Chu, Member, IEEE, and Chung

More information

4 Modeling of a capacitive RF discharge

4 Modeling of a capacitive RF discharge 4 Modeling of a capacitive discharge 4.1 PIC MCC model for capacitive discharge Capacitive radio frequency () discharges are very popular, both in laboratory research for the production of low-temperature

More information

Boundary Conditions for the Child Langmuir Sheath Model

Boundary Conditions for the Child Langmuir Sheath Model IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 28, NO. 6, DECEMBER 2000 2207 Boundary Conditions for the Child Langmuir Sheath Model Mikhail S. Benilov Abstract A collision-free space-charge sheath formed by

More information

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Table of Content Mechanical Removing Techniques Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Ultrasonic Machining In ultrasonic machining (USM), also called ultrasonic grinding,

More information

MOSFET: Introduction

MOSFET: Introduction E&CE 437 Integrated VLSI Systems MOS Transistor 1 of 30 MOSFET: Introduction Metal oxide semiconductor field effect transistor (MOSFET) or MOS is widely used for implementing digital designs Its major

More information

A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa

A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa Simulation of Plasma Immersion Ion Implantation A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa 2011 International Conference on Simulation of Semiconductor Processes and Devices

More information

ELECTRON-cyclotron-resonance (ECR) plasma reactors

ELECTRON-cyclotron-resonance (ECR) plasma reactors 154 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 10, NO. 1, FEBRUARY 1997 Plasma-Parameter Dependence of Thin-Oxide Damage from Wafer Charging During Electron-Cyclotron-Resonance Plasma Processing

More information

PIC-MCC simulations for complex plasmas

PIC-MCC simulations for complex plasmas GRADUATE SUMMER INSTITUTE "Complex Plasmas August 4, 008 PIC-MCC simulations for complex plasmas Irina Schweigert Institute of Theoretical and Applied Mechanics, SB RAS, Novosibirsk Outline GRADUATE SUMMER

More information

1. INTRODUCTION 2. EXPERIMENTAL SET-UP CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES

1. INTRODUCTION 2. EXPERIMENTAL SET-UP CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES Romanian Reports in Physics, Vol. 57, No. 3, P. 390-395, 2005 CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES C. PETCU, B. MITU, G. DINESCU National Institute for Lasers,

More information

Energy fluxes in plasmas for fabrication of nanostructured materials

Energy fluxes in plasmas for fabrication of nanostructured materials Energy fluxes in plasmas for fabrication of nanostructured materials IEAP, Universität Kiel 2nd Graduate Summer Institute "Complex Plasmas" August 5-13, 2010 in Greifswald (Germany) AG 1 Outline Motivation

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

Modeling and Simulation of Plasma Based Applications in the Microwave and RF Frequency Range

Modeling and Simulation of Plasma Based Applications in the Microwave and RF Frequency Range Modeling and Simulation of Plasma Based Applications in the Microwave and RF Frequency Range Dr.-Ing. Frank H. Scharf CST of America What is a plasma? What is a plasma? Often referred to as The fourth

More information

Measurement of electron energy distribution function in an argon/copper plasma for ionized physical vapor deposition

Measurement of electron energy distribution function in an argon/copper plasma for ionized physical vapor deposition Measurement of electron energy distribution function in an argon/copper plasma for ionized physical vapor deposition Z. C. Lu, J. E. Foster, T. G. Snodgrass, J. H. Booske, and A. E. Wendt a) Engineering

More information

Sheaths: More complicated than you think a

Sheaths: More complicated than you think a PHYSICS OF PLASMAS 12, 055502 2005 Sheaths: More complicated than you think a Noah Hershkowitz b University of Wisconsin-Madison, Madison, Wisconsin 53706 Received 7 December 2004; accepted 7 February

More information

FINAL REPORT. DOE Grant DE-FG03-87ER13727

FINAL REPORT. DOE Grant DE-FG03-87ER13727 FINAL REPORT DOE Grant DE-FG03-87ER13727 Dynamics of Electronegative Plasmas for Materials Processing Allan J. Lichtenberg and Michael A. Lieberman Department of Electrical Engineering and Computer Sciences

More information

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

SPUTTER-WIND HEATING IN IONIZED METAL PVD+ SPUTTER-WIND HEATING IN IONIZED METAL PVD+ Junqing Lu* and Mark Kushner** *Department of Mechanical and Industrial Engineering **Department of Electrical and Computer Engineering University of Illinois

More information

Electron Transport Behavior in a Mirror Magnetic Field and a Non-uniform Electric Field

Electron Transport Behavior in a Mirror Magnetic Field and a Non-uniform Electric Field Commun. Theor. Phys. (Beijing, China) 35 (2001) pp. 207 212 c International Academic Publishers Vol. 35, No. 2, February 15, 2001 Electron Transport Behavior in a Mirror Magnetic Field and a Non-uniform

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

M o d e l l i n g o f D u a l F r e q u e n c y C a p a c i t i v e l y. C o u p l e d P l a s m a D e v i c e s. P a u l C. Boyle

M o d e l l i n g o f D u a l F r e q u e n c y C a p a c i t i v e l y. C o u p l e d P l a s m a D e v i c e s. P a u l C. Boyle M o d e l l i n g o f D u a l F r e q u e n c y C a p a c i t i v e l y C o u p l e d P l a s m a D e v i c e s. P a u l C. Boyle S ep tem b er 6, 2004 Modelling of Dual frequency Capacitively Coupled

More information

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE Serial Number Filing Date Inventor 917.963 27 August 1997 Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE The above identified patent application is available for licensing. Requests

More information

Collisionless electron heating by capacitive radio-frequency plasma sheaths 2 and Lieberman[2, 3, 4], where the electrons moving towards the sheath ar

Collisionless electron heating by capacitive radio-frequency plasma sheaths 2 and Lieberman[2, 3, 4], where the electrons moving towards the sheath ar Collisionless electron heating by capacitive radio-frequency plasma sheaths G. Gozadinosyx, D. Vendery, M.M. Turnery and M.A. Liebermanz yplasma Research Laboratory, School of Physical Sciences Dublin

More information

Effects of fast atoms and energy-dependent secondary electron emission yields in PIC/ MCC simulations of capacitively coupled plasmas

Effects of fast atoms and energy-dependent secondary electron emission yields in PIC/ MCC simulations of capacitively coupled plasmas (14pp) Plasma Sources Science and Technology doi:10.1088/0963-0252/24/3/034002 Effects of fast atoms and energy-dependent secondary electron emission yields in PIC/ MCC simulations of capacitively coupled

More information

Equilibrium model for two low-pressure electronegative plasmas connected by a double layer

Equilibrium model for two low-pressure electronegative plasmas connected by a double layer PHYSICS OF PLASMAS 13, 093504 2006 Equilibrium model for two low-pressure electronegative plasmas connected by a double layer P. Chabert, a N. Plihon, C. S. Corr, and J.-L. Raimbault Laboratoire de Physique

More information

1358 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 27, NO. 5, OCTOBER 1999

1358 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 27, NO. 5, OCTOBER 1999 1358 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 27, NO. 5, OCTOBER 1999 Sheath Thickness Evaluation for Collisionless or Weakly Collisional Bounded Plasmas Shiang-Bau Wang and Amy E. Wendt Abstract The

More information

Multidimensional Numerical Simulation of Glow Discharge by Using the N-BEE-Time Splitting Method

Multidimensional Numerical Simulation of Glow Discharge by Using the N-BEE-Time Splitting Method Plasma Science and Technology, Vol.14, No.9, Sep. 2012 Multidimensional Numerical Simulation of Glow Discharge by Using the N-BEE-Time Splitting Method Benyssaad KRALOUA, Ali HENNAD Electrical Engineering

More information

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC 1 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman, David B. Graves (UCB) and Allan J. Lichtenberg, John P. Verboncoeur, Alan Wu, Emi Kawamura, Chengche Hsu, Joe Vegh, Insook Lee

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

Compound buried layer SOI high voltage device with a step buried oxide

Compound buried layer SOI high voltage device with a step buried oxide Compound buried layer SOI high voltage device with a step buried oxide Wang Yuan-Gang( ), Luo Xiao-Rong( ), Ge Rui( ), Wu Li-Juan( ), Chen Xi( ), Yao Guo-Liang( ), Lei Tian-Fei( ), Wang Qi( ), Fan Jie(

More information

Effect of small amounts of hydrogen added to argon glow discharges: Hybrid Monte Carlo fluid model

Effect of small amounts of hydrogen added to argon glow discharges: Hybrid Monte Carlo fluid model PHYSICAL REVIEW E, VOLUME 65, 056402 Effect of small amounts of hydrogen added to argon glow discharges: Hybrid Monte Carlo fluid model Annemie Bogaerts* and Renaat Gijbels Department of Chemistry, University

More information

65 th GEC, October 22-26, 2012

65 th GEC, October 22-26, 2012 65 th GEC, October 22-26, 2012 2D Fluid/Analytical Simulation of Multi-Frequency Capacitively-Coupled Plasma Reactors (CCPs) E. Kawamura, M.A. Lieberman, D.B. Graves and A.J. Lichtenberg A fast 2D hybrid

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. INTRODUCTION As device density increases according to Moore s law,

More information

Plasma transport around dust agglomerates having complex shapes

Plasma transport around dust agglomerates having complex shapes JOURNAL OF APPLIED PHYSICS VOLUME 83, NUMBER 11 1 JUNE 1998 Plasma transport around dust agglomerates having complex shapes Eric R. Keiter a) and Mark J. Kushner b) Department of Electrical and Computer

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Nonlinear Diffusion in Magnetized Discharges. Francis F. Chen. Electrical Engineering Department

Nonlinear Diffusion in Magnetized Discharges. Francis F. Chen. Electrical Engineering Department Nonlinear Diffusion in Magnetized Discharges Francis F. Chen Electrical Engineering Department PPG-1579 January, 1998 Revised April, 1998 Nonlinear Diffusion in Magnetized Discharges Francis F. Chen Electrical

More information

Dual-RadioFrequency Capacitively-Coupled Plasma Reactors. Tomás Oliveira Fartaria nº58595

Dual-RadioFrequency Capacitively-Coupled Plasma Reactors. Tomás Oliveira Fartaria nº58595 Dual-RadioFrequency Capacitively-Coupled Plasma Reactors Tomás Oliveira Fartaria nº58595 Index Capacitive Reactors Dual Frequency Capacitively-Coupled reactors o Apparatus for improved etching uniformity

More information

ICPMS Doherty Lecture 1

ICPMS Doherty Lecture 1 ICPMS Doherty Lecture 1 Mass Spectrometry This material provides some background on how to measure isotope abundances by means of mass spectrometry. Mass spectrometers create and separate ionized atoms

More information

The Franck-Hertz Experiment Physics 2150 Experiment No. 9 University of Colorado

The Franck-Hertz Experiment Physics 2150 Experiment No. 9 University of Colorado Experiment 9 1 Introduction The Franck-Hertz Experiment Physics 2150 Experiment No. 9 University of Colorado During the late nineteenth century, a great deal of evidence accumulated indicating that radiation

More information

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS Mark J. Kushner University of Illinois Department of Electrical and Computer Engineering Urbana, IL 61801 mjk@uiuc.edu December 1998

More information