FIT2009( 第 8 回情報科学技術フォーラム ) 12.8% A Selection Algorithm of Tasks for Applying DVS toward a Power-aware Task Scheduling

Size: px
Start display at page:

Download "FIT2009( 第 8 回情報科学技術フォーラム ) 12.8% A Selection Algorithm of Tasks for Applying DVS toward a Power-aware Task Scheduling"

Transcription

1 FIT09( 第 8 回情報科学技術フォーラム ) RC % % A Selection Algorithm of Tasks for Applying toward a Power-aware Task Scheduling YUICHIRO MORI, 1 KOICHI ASAKURA 2 and TOYOHIDE WATANABE 1 Although (Dynamic Voltage Scaling) can reduce power consumption, this method is mainly used at idle time or low load computation time. In existing methods, which propose application of at high load computation time, interprocessor communication cost between tasks is not considered. In this paper, we propose a task scheduling algorithm for reducing power consumption especially for high load computation time. The algorithm takes interprocessor communication cost into consideration. is applied to tasks that are not in the critical path. Thus, we can reduce power consumption without increasing the whole processing time. Experimental results show that our algorithm can reduce about 9.0% and 12.8% of power consumption o and 8 processors respectively on average. 1. (Dynamic Voltage Scaling) 3) P-State 1) AMD Cool in Quiet 4) Power Now! 5) Intel 2) SpeedStep 6) 1 Department of Systems and Social Informatics, Graduate School of Information Science, Nagoya University 2 Department of Information Systems, School of Informatics, Daido University 157

2 FIT09( 第 8 回情報科学技術フォーラム ) S time[ut] 0 n 2 P 1 n 2 n 8 n 7 P 3 n 7 2 Fig. 2 An example of a schedule n 8 G 0 1 Fig. 1 An example of a task graph 8) ( 5 ) P-State 1 P-State P-State comp(n) comm(n i,n j ) CMOS P-State 7) ( 2 ) 2 2 [unit time] P-State s 1 s 2 P-State f s1 f s2 T s1 T s2 = T s 2. f s2 T s1 ( 3 ) ( 4 ) 8) ( 1 ) 1 f s1 158

3 FIT09( 第 8 回情報科学技術フォーラム ) 1 Table 1 Specification of a computer parts specification Motherboard Gigabyte GA-K8 VM800M CPU AMD Athlon Clock frequency 2.00GHz Number of P-States 3 RAM 1.00GB OS Windows XP Professional SP3 n 2 n 7 2 Table 2 Effects of P-State [W] [MHz] [V] P-State 2 3 P-State Varatkar 3. 9))11)12) Chen 3 9) Chen 12) 3 Fig. 3 A tree task graph ) Chen 11) Chen 1 CPU 0% 159

4 FIT09( 第 8 回情報科学技術フォーラム ) P1 n 2 50 連接タスク群 A P n 2 n 7 Fig. 5 連接タスク群 B 5 An example of connective tasks (a) P1 n 2 50 Fig. 4 (b) 4 An example of applying 4. slack-time slack-time P-State slack-time n 2 n 2 slack-time 5 [ut] 5, n 2,,, n 2 00MHz slack-time, n 7 00MHz, n 2,, slack-time 2 4,770[W ut], 3,660[W ut] 6 Fig. 6 Our proposed algorithm 6 est(n, p) n p (earliest start P-State P-State time) ect(n, p) n p 160

5 FIT09( 第 8 回情報科学技術フォーラム ) (earliest completion time) wait(n, n s0 ) 7) p n n s0 slacktime(n s0 ) ( 1 ) ( 2 ) ( 3 ) slack-time 7 slack-time Fig. 7 A computation method of slack-time n slack-time nlist n ( Slack-time n slack-time n prev nlist n prev slacktime(n) slack-time n slack-time n (6 ).3 n nlist n s1 slack-time p s1 P-State wait(n,n s1 ) wait(n,n s1 ) = est(n s1, p s ) ect(n, p) comm(n,n s1 ). n s0 ) slacktime(n s0 ) 4.4 slack-time wait(n,n s0 ) = est(n s0, p) ect(n, p) + slacktime(n s0 ). slacktime(n s0 ) nlist slack-time nlist n slack-time slacktime(n) = min wait(n,n s). n s succ(n) p s wait(n, n s1 ) n slacktime(n) = 0 slack-time 8(a) ETF 7) 8(b) slack-time 8(b) 2 n s1 n s0 5 ) p n n prev slacktime(n prev ) n target n target n target (12 13 n target slack-time n target est slack-time (14 ) n target nlist

6 FIT09( 第 8 回情報科学技術フォーラム ) P 1 n 2 n 15 4 S 0 0 G 45 (a) Fig. 8 n (b) 8 Examples of a task graph and a schedule 9(a) 6,770[W ut] 5,270[W ut] slack-time P-State ETF P-State 9(a) STG(Standard Task Graph set) 13) slack-time 50, slack-time CCR(Communication to Computation Ratio) 7) slack-time CCR slack-time 1 7) CCR 3 P 1 P 1 Fig n (a) n (b) 9 After applying the methods for reducing power consumption 8(b) 2 9(b) 9(a) n 2, n 2 9 P 1 [,0] 2 [ms] STG CCR

7 FIT09( 第 8 回情報科学技術フォーラム ) 3 Table 3 Power consumption model of the processor P-State [W] [MHz] [V] P-State slack-time slack-time 1 slack-time AMD Athlon P f V P fv 2 49[W] 2 00MHz 1800MHz 3/4 00MHz 00MHz 1/4 3 ( 2 ) Intel Speed Step [µs] µs 14) [µs] STG 3.1[ms] 1% 4 9.0% % 5.3 ETF 4 4 P-State ETF ( 1 ) ( 3 ) slack-time CCR CCR CCR slack-time 15) 163

8 FIT09( 第 8 回情報科学技術フォーラム ) 4 Table 4 Experimental results 50 0 CCR %.8% 4.8% 9.4% %.8% 5.0% 9.3%.3% 11.0% 9.0% 13.8% % 15.7% 8.9% 14.3% 8 1.8% 14.7%.6% 16.5%.8% 13.3% 11.2% 15.1% MPI : PC,, Vol. 47, No. SIG12(ACS15), pp (06). 13) T.Tobita and H.Kasahara: Performance Evaluation of Minimum Execution Time Multiprocessor Scheduling Algorithms Using Standard Task Graph Set, Proc. of 00 Int l. Conf. on Parallel and Distributed Processing Techniques and Applications 1) L.A.Barroso: The Price of Performance, Queue, Vol.3, No.7, pp (05). 2) X.Fan, W.D.Weber and L.A.Barroso: Power Provisioning for a Warehouse-sized Computer, Proc. of the 34th Annual Int l. Symp. on Computer Architecture, pp (07). 14), (PDPTA), pp (00). 3) Y.Zhang, X.S.Hu and D.Z.Chen: Task Scheduling and Voltage Selection for Energy Minimization, Proc. of the 39th Conf. on Design Automation, pp (02). 4) AMD Corporation. Cool in Quiet technology. 5) AMD Corporation. Power now! technology. 6) Intel Corporation. SpeedStep technology. 7) O.Sinnen: Task Scheduling for Parallel Systems, Wiley-Interscience (07). 8) O.Sinnen, L.A.Sousa and F.E.Sandnes: Toward a Realistic Task Scheduling Model, IEEE Transactions on Parallel and Distributed Systems, Vol. 17, No.3, pp (06). 9) G.Chen, K.Malkowski, M.Kandemir and P.Raghavan: Reducing Power with Performance Constraints for Parallel Sparse Applications, Proc. of Workshop on High-Perfomance, Power-Aware Computing (IPDPS), pp (05). ) :,, Vol.05, No.79, pp (05). 15) L.Choy, S.G.Petiton and M.Sato: Toward Power- Aware Computing with Dynamic Voltage Scaling for Heterogeneous Platforms, Proc. of 07 Int l. Conf. on Cluster Computing, pp (07). :,, Vol. 47, No.SIG12(ACS15), pp (06). 11) G.Varatkar and R.Marculescu: Communication- Aware Task Scheduling and Voltage Selection for Total Systems Energy Minimization : Proc. of 03 Int l. Conf. on Computer-aided design (ICCAD), pp (03). 12) 164

ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING

ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING Abhishek Mishra and Anil Kumar Tripathi Department of

More information

Energy-aware scheduling for GreenIT in large-scale distributed systems

Energy-aware scheduling for GreenIT in large-scale distributed systems Energy-aware scheduling for GreenIT in large-scale distributed systems 1 PASCAL BOUVRY UNIVERSITY OF LUXEMBOURG GreenIT CORE/FNR project Context and Motivation Outline 2 Problem Description Proposed Solution

More information

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Jian-Jia Chen *, Chuan Yue Yang, Tei-Wei Kuo, and Chi-Sheng Shih Embedded Systems and Wireless Networking Lab. Department of Computer

More information

Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems

Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems Vishnu Swaminathan and Krishnendu Chakrabarty Department of Electrical & Computer Engineering Duke University Durham,

More information

Green Destiny and its Evolving Parts

Green Destiny and its Evolving Parts Green Destiny and its Evolving Parts Wu-chun Feng and Chung-hsing Hsu P.O. Box 1663, M.S. D451; Los Alamos National Laboratory; Los Alamos, NM 87545 E-mail: feng@lanl.gov, Phone: +1-505-665-2730, Fax:

More information

Parallelization of Multilevel Preconditioners Constructed from Inverse-Based ILUs on Shared-Memory Multiprocessors

Parallelization of Multilevel Preconditioners Constructed from Inverse-Based ILUs on Shared-Memory Multiprocessors Parallelization of Multilevel Preconditioners Constructed from Inverse-Based ILUs on Shared-Memory Multiprocessors J.I. Aliaga 1 M. Bollhöfer 2 A.F. Martín 1 E.S. Quintana-Ortí 1 1 Deparment of Computer

More information

Saving Energy in Sparse and Dense Linear Algebra Computations

Saving Energy in Sparse and Dense Linear Algebra Computations Saving Energy in Sparse and Dense Linear Algebra Computations P. Alonso, M. F. Dolz, F. Igual, R. Mayo, E. S. Quintana-Ortí, V. Roca Univ. Politécnica Univ. Jaume I The Univ. of Texas de Valencia, Spain

More information

STATISTICAL PERFORMANCE

STATISTICAL PERFORMANCE STATISTICAL PERFORMANCE PROVISIONING AND ENERGY EFFICIENCY IN DISTRIBUTED COMPUTING SYSTEMS Nikzad Babaii Rizvandi 1 Supervisors: Prof.Albert Y.Zomaya Prof. Aruna Seneviratne OUTLINE Introduction Background

More information

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems Zhe Wang, Sanjay Ranka and Prabhat Mishra Dept. of Computer and Information Science and Engineering University of Florida,

More information

Static-scheduling and hybrid-programming in SuperLU DIST on multicore cluster systems

Static-scheduling and hybrid-programming in SuperLU DIST on multicore cluster systems Static-scheduling and hybrid-programming in SuperLU DIST on multicore cluster systems Ichitaro Yamazaki University of Tennessee, Knoxville Xiaoye Sherry Li Lawrence Berkeley National Laboratory MS49: Sparse

More information

Online Work Maximization under a Peak Temperature Constraint

Online Work Maximization under a Peak Temperature Constraint Online Work Maximization under a Peak Temperature Constraint Thidapat Chantem Department of CSE University of Notre Dame Notre Dame, IN 46556 tchantem@nd.edu X. Sharon Hu Department of CSE University of

More information

Energy-efficient Mapping of Big Data Workflows under Deadline Constraints

Energy-efficient Mapping of Big Data Workflows under Deadline Constraints Energy-efficient Mapping of Big Data Workflows under Deadline Constraints Presenter: Tong Shu Authors: Tong Shu and Prof. Chase Q. Wu Big Data Center Department of Computer Science New Jersey Institute

More information

Saving Energy in the LU Factorization with Partial Pivoting on Multi-Core Processors

Saving Energy in the LU Factorization with Partial Pivoting on Multi-Core Processors 20th Euromicro International Conference on Parallel, Distributed and Network-Based Special Session on Energy-aware Systems Saving Energy in the on Multi-Core Processors Pedro Alonso 1, Manuel F. Dolz 2,

More information

Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems

Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems Dongkun Shin School of Computer Science and Engineering Seoul National University sdk@davinci.snu.ac.kr Jihong Kim

More information

TEMPORAL WORKLOAD ANALYSIS AND ITS APPLICATION TO POWER-AWARE SCHEDULING

TEMPORAL WORKLOAD ANALYSIS AND ITS APPLICATION TO POWER-AWARE SCHEDULING TEMPORAL WORKLOAD ANALYSIS AND ITS APPLICATION TO POWER-AWARE SCHEDULING Ye-In Seol 1, Jeong-Uk Kim 1 and Young-Kuk Kim 2, 1 Green Energy Institute, Sangmyung University, Seoul, South Korea 2 Dept. of

More information

Slack Reclamation for Real-Time Task Scheduling over Dynamic Voltage Scaling Multiprocessors

Slack Reclamation for Real-Time Task Scheduling over Dynamic Voltage Scaling Multiprocessors Slack Reclamation for Real-Time Task Scheduling over Dynamic Voltage Scaling Multiprocessors Jian-Jia Chen, Chuan-Yue Yang, and Tei-Wei Kuo Department of Computer Science and Information Engineering Graduate

More information

Leveraging Task-Parallelism in Energy-Efficient ILU Preconditioners

Leveraging Task-Parallelism in Energy-Efficient ILU Preconditioners Leveraging Task-Parallelism in Energy-Efficient ILU Preconditioners José I. Aliaga Leveraging task-parallelism in energy-efficient ILU preconditioners Universidad Jaime I (Castellón, Spain) José I. Aliaga

More information

Reducing the Run-time of MCMC Programs by Multithreading on SMP Architectures

Reducing the Run-time of MCMC Programs by Multithreading on SMP Architectures Reducing the Run-time of MCMC Programs by Multithreading on SMP Architectures Jonathan M. R. Byrd Stephen A. Jarvis Abhir H. Bhalerao Department of Computer Science University of Warwick MTAAP IPDPS 2008

More information

EDF Feasibility and Hardware Accelerators

EDF Feasibility and Hardware Accelerators EDF Feasibility and Hardware Accelerators Andrew Morton University of Waterloo, Waterloo, Canada, arrmorton@uwaterloo.ca Wayne M. Loucks University of Waterloo, Waterloo, Canada, wmloucks@pads.uwaterloo.ca

More information

Throughput Maximization for Intel Desktop Platform under the Maximum Temperature Constraint

Throughput Maximization for Intel Desktop Platform under the Maximum Temperature Constraint 2011 IEEE/ACM International Conference on Green Computing and Communications Throughput Maximization for Intel Desktop Platform under the Maximum Temperature Constraint Guanglei Liu 1, Gang Quan 1, Meikang

More information

Dynamic I/O Power Management for Hard Real-time Systems 1

Dynamic I/O Power Management for Hard Real-time Systems 1 Dynamic I/O Power Management for Hard Real-time Systems 1 Vishnu Swaminathan y, Krishnendu Chakrabarty y and S. S. Iyengar z y Department of Electrical & Computer Engineering z Department of Computer Science

More information

Optimal Integration of Inter-Task and Intra-Task Dynamic Voltage Scaling Techniques for Hard Real-Time Applications

Optimal Integration of Inter-Task and Intra-Task Dynamic Voltage Scaling Techniques for Hard Real-Time Applications Optimal Integration of Inter-Task and Intra-Task Dynamic Voltage Scaling Techniques for Hard Real-Time Applications Jaewon Seo KAIST, Daejeon, KOREA jwseo@jupiter.kaist.ac.kr Taewhan Kim Seoul National

More information

THE ongoing progress in semiconductor technology has

THE ongoing progress in semiconductor technology has 1068 IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS, VOL. 13, NO. 3, JUNE 2017 Minimizing Energy Consumption of Real-Time Parallel Applications Using Downward and Upward Approaches on Heterogeneous Systems

More information

TOWARD THE PLACEMENT OF POWER MANAGEMENT POINTS IN REAL-TIME APPLICATIONS

TOWARD THE PLACEMENT OF POWER MANAGEMENT POINTS IN REAL-TIME APPLICATIONS Chapter 1 TOWARD THE PLACEMENT OF POWER MANAGEMENT POINTS IN REAL-TIME APPLICATIONS Nevine AbouGhazaleh, Daniel Mossé, Bruce Childers, Rami Melhem Department of Computer Science University of Pittsburgh

More information

A Self-adaption Quantum Genetic Algorithm Used in the Design of Command and Control Structure

A Self-adaption Quantum Genetic Algorithm Used in the Design of Command and Control Structure 2017 3rd International Conference on Computational Systems and Communications (ICCSC 2017) A Self-adaption Quantum Genetic Algorithm Used in the esign of Command and Control Structure SUN Peng1, 3, a,

More information

School of EECS Seoul National University

School of EECS Seoul National University 4!4 07$ 8902808 3 School of EECS Seoul National University Introduction Low power design 3974/:.9 43 Increasing demand on performance and integrity of VLSI circuits Popularity of portable devices Low power

More information

Some thoughts about energy efficient application execution on NEC LX Series compute clusters

Some thoughts about energy efficient application execution on NEC LX Series compute clusters Some thoughts about energy efficient application execution on NEC LX Series compute clusters G. Wellein, G. Hager, J. Treibig, M. Wittmann Erlangen Regional Computing Center & Department of Computer Science

More information

Energy Reduction Techniques for Systemswith Non-DVS Components

Energy Reduction Techniques for Systemswith Non-DVS Components Energy Reduction Techniques for Systemswith Non-DVS Components Chuan-Yue Yang, Jian-Jia Chen, Tei-Wei Kuo, Lothar Thiele Department of Computer Science and Information Engineering National Taiwan University,

More information

Quantum Chemical Calculations by Parallel Computer from Commodity PC Components

Quantum Chemical Calculations by Parallel Computer from Commodity PC Components Nonlinear Analysis: Modelling and Control, 2007, Vol. 12, No. 4, 461 468 Quantum Chemical Calculations by Parallel Computer from Commodity PC Components S. Bekešienė 1, S. Sėrikovienė 2 1 Institute of

More information

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden of /4 4 Embedded Systems Design: Optimization Challenges Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden Outline! Embedded systems " Example area: automotive electronics " Embedded systems

More information

VECTOR REPETITION AND MODIFICATION FOR PEAK POWER REDUCTION IN VLSI TESTING

VECTOR REPETITION AND MODIFICATION FOR PEAK POWER REDUCTION IN VLSI TESTING th 8 IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems Sopron, Hungary, April 13-16, 2005 VECTOR REPETITION AND MODIFICATION FOR PEAK POWER REDUCTION IN VLSI TESTING M. Bellos

More information

Implications on the Design

Implications on the Design Implications on the Design Ramon Canal NCD Master MIRI NCD Master MIRI 1 VLSI Basics Resistance: Capacity: Agenda Energy Consumption Static Dynamic Thermal maps Voltage Scaling Metrics NCD Master MIRI

More information

Aperiodic Task Scheduling

Aperiodic Task Scheduling Aperiodic Task Scheduling Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 12 Germany Springer, 2010 2017 年 11 月 29 日 These slides use Microsoft clip arts. Microsoft copyright

More information

Digital System Clocking: High-Performance and Low-Power Aspects. Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M.

Digital System Clocking: High-Performance and Low-Power Aspects. Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Wiley-Interscience and IEEE Press, January 2003 Nov. 14,

More information

ANALYTICAL MODELING AND SIMULATION OF THE ENERGY CONSUMPTION OF INDEPENDENT TASKS

ANALYTICAL MODELING AND SIMULATION OF THE ENERGY CONSUMPTION OF INDEPENDENT TASKS Proceedings of the 22 Winter Simulation Conference C. Laroque, J. Himmelspach, R. Pasupathy, O. Rose, and A. M. Uhrmacher, eds. ANALYTICAL MODELING AND SIMULATION OF THE ENERGY CONSUMPTION OF INDEPENDENT

More information

Reclaiming the energy of a schedule: models and algorithms

Reclaiming the energy of a schedule: models and algorithms Author manuscript, published in "Concurrency and Computation: Practice and Experience 25 (2013) 1505-1523" DOI : 10.1002/cpe.2889 CONCURRENCY AND COMPUTATION: PRACTICE AND EXPERIENCE Concurrency Computat.:

More information

Energy-efficient Scheduling Algorithms for Real-time Parallel Applications on Heterogeneous Distributed Embedded Systems

Energy-efficient Scheduling Algorithms for Real-time Parallel Applications on Heterogeneous Distributed Embedded Systems Transactions on Parallel and Distributed Systems 1 Energy-efficient Scheduling Algorithms for Real-time Parallel Applications on Heterogeneous Distributed Embedded Systems Guoqi Xie, Member, IEEE, Gang

More information

Optimizing Intra-Task Voltage Scheduling Using Data Flow Analysis Λ

Optimizing Intra-Task Voltage Scheduling Using Data Flow Analysis Λ Optimizing Intra-Task Voltage Scheduling Using Data Flow Analysis Λ Dongkun Shin Jihong Kim School of CSE School of CSE Seoul National University Seoul National University Seoul, Korea 151-742 Seoul, Korea

More information

先進的計算基盤システムシンポジウム SACSIS2012 Symposium on Advanced Computing Systems and Infrastructures SACSIS /5/18 VM 1 VM VM 0-1 1) 18% 50% 2) 3) Matching

先進的計算基盤システムシンポジウム SACSIS2012 Symposium on Advanced Computing Systems and Infrastructures SACSIS /5/18 VM 1 VM VM 0-1 1) 18% 50% 2) 3) Matching VM 1 VM VM 0-1 1) 18% 50% 2) 3) Matching-based Virtual Machine Packing Algorithm for Lower Power Consumption SATOSHI TAKAHASHI, ATSUKO TAKEFUSA, MAIKO SHIGENO, HIDEMOTO NAKADA, TOMOHIRO KUDOH and AKIKO

More information

A New Task Model and Utilization Bound for Uniform Multiprocessors

A New Task Model and Utilization Bound for Uniform Multiprocessors A New Task Model and Utilization Bound for Uniform Multiprocessors Shelby Funk Department of Computer Science, The University of Georgia Email: shelby@cs.uga.edu Abstract This paper introduces a new model

More information

PSEUDORANDOM numbers are very important in practice

PSEUDORANDOM numbers are very important in practice Proceedings of the Federated Conference on Computer Science and Information Systems pp 571 578 ISBN 978-83-681-51-4 Parallel GPU-accelerated Recursion-based Generators of Pseudorandom Numbers Przemysław

More information

Dynamic Scheduling for Work Agglomeration on Heterogeneous Clusters

Dynamic Scheduling for Work Agglomeration on Heterogeneous Clusters Dynamic Scheduling for Work Agglomeration on Heterogeneous Clusters Jonathan Lifflander, G. Carl Evans, Anshu Arya, Laxmikant Kale University of Illinois Urbana-Champaign May 25, 2012 Work is overdecomposed

More information

Algorithms for Temperature-Aware Task Scheduling in Microprocessor Systems

Algorithms for Temperature-Aware Task Scheduling in Microprocessor Systems Algorithms for Temperature-Aware Task Scheduling in Microprocessor Systems Marek Chrobak 1, Christoph Dürr 2, Mathilde Hurand 2, and Julien Robert 3 1 Department of Computer Science, University of California,

More information

Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints

Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints Jian-Jia Chen Computer Engineering and Networks Laboratory (TIK) ETH Zurich, Switzerland jchen@tik.ee.ethz.ch Shengquan Wang Department

More information

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering Thermal Modeling, Analysis and Management of 2D Multi-Processor System-on-Chip Prof David Atienza Alonso Embedded Systems Laboratory (ESL) Institute of EE, Falty of Engineering Outline MPSoC thermal modeling

More information

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University Che-Wei Chang chewei@mail.cgu.edu.tw Department of Computer Science and Information Engineering, Chang Gung University } 2017/11/15 Midterm } 2017/11/22 Final Project Announcement 2 1. Introduction 2.

More information

Computation Offloading Strategy Optimization with Multiple Heterogeneous Servers in Mobile Edge Computing

Computation Offloading Strategy Optimization with Multiple Heterogeneous Servers in Mobile Edge Computing IEEE TRANSACTIONS ON SUSTAINABLE COMPUTING VOL XX NO YY MONTH 019 1 Computation Offloading Strategy Optimization with Multiple Heterogeneous Servers in Mobile Edge Computing Keqin Li Fellow IEEE Abstract

More information

Free Search in Multidimensional Space

Free Search in Multidimensional Space Free Search in Multidimensional Space Technology School, Maritime and Technology Faculty Southampton Solent University Content Aims Test Problems Bump test function Michalewicz test function Norwegian

More information

Throughput of Multi-core Processors Under Thermal Constraints

Throughput of Multi-core Processors Under Thermal Constraints Throughput of Multi-core Processors Under Thermal Constraints Ravishankar Rao, Sarma Vrudhula, and Chaitali Chakrabarti Consortium for Embedded Systems Arizona State University Tempe, AZ 85281, USA {ravirao,

More information

A Dynamic Real-time Scheduling Algorithm for Reduced Energy Consumption

A Dynamic Real-time Scheduling Algorithm for Reduced Energy Consumption A Dynamic Real-time Scheduling Algorithm for Reduced Energy Consumption Rohini Krishnapura, Steve Goddard, Ala Qadi Computer Science & Engineering University of Nebraska Lincoln Lincoln, NE 68588-0115

More information

Energy-Optimal Dynamic Thermal Management for Green Computing

Energy-Optimal Dynamic Thermal Management for Green Computing Energy-Optimal Dynamic Thermal Management for Green Computing Donghwa Shin, Jihun Kim and Naehyuck Chang Seoul National University, Korea {dhshin, jhkim, naehyuck} @elpl.snu.ac.kr Jinhang Choi, Sung Woo

More information

AUTOMOBILES are important ground-mobility vehicles

AUTOMOBILES are important ground-mobility vehicles IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS, VOL. 14, NO. 12, DECEMBER 2018 5447 Reliability Enhancement Toward Functional Safety Goal Assurance in Energy-Aware Automotive Cyber-Physical Systems Guoqi

More information

HIGH-PERFORMANCE circuits consume a considerable

HIGH-PERFORMANCE circuits consume a considerable 1166 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL 17, NO 11, NOVEMBER 1998 A Matrix Synthesis Approach to Thermal Placement Chris C N Chu D F Wong Abstract In this

More information

Enhancing Multicore Reliability through Wear Compensation in Online Assignment and Scheduling

Enhancing Multicore Reliability through Wear Compensation in Online Assignment and Scheduling Enhancing Multicore Reliability through Wear Compensation in Online Assignment and Scheduling Thidapat Chantem Department of ECE Utah State University Logan, UT 84322 tam.chantem@usu.edu Yun Xiang Department

More information

RRQR Factorization Linux and Windows MEX-Files for MATLAB

RRQR Factorization Linux and Windows MEX-Files for MATLAB Documentation RRQR Factorization Linux and Windows MEX-Files for MATLAB March 29, 2007 1 Contents of the distribution file The distribution file contains the following files: rrqrgate.dll: the Windows-MEX-File;

More information

Minimization of Energy Loss using Integrated Evolutionary Approaches

Minimization of Energy Loss using Integrated Evolutionary Approaches Minimization of Energy Loss using Integrated Evolutionary Approaches Attia A. El-Fergany, Member, IEEE, Mahdi El-Arini, Senior Member, IEEE Paper Number: 1569614661 Presentation's Outline Aim of this work,

More information

PLA Minimization for Low Power VLSI Designs

PLA Minimization for Low Power VLSI Designs PLA Minimization for Low Power VLSI Designs Sasan Iman, Massoud Pedram Department of Electrical Engineering - Systems University of Southern California Chi-ying Tsui Department of Electrical and Electronics

More information

Efficient Power Management Schemes for Dual-Processor Fault-Tolerant Systems

Efficient Power Management Schemes for Dual-Processor Fault-Tolerant Systems Efficient Power Management Schemes for Dual-Processor Fault-Tolerant Systems Yifeng Guo, Dakai Zhu The University of Texas at San Antonio Hakan Aydin George Mason University Outline Background and Motivation

More information

A Data Communication Reliability and Trustability Study for Cluster Computing

A Data Communication Reliability and Trustability Study for Cluster Computing A Data Communication Reliability and Trustability Study for Cluster Computing Speaker: Eduardo Colmenares Midwestern State University Wichita Falls, TX HPC Introduction Relevant to a variety of sciences,

More information

Temperature-Aware Analysis and Scheduling

Temperature-Aware Analysis and Scheduling Temperature-Aware Analysis and Scheduling Lothar Thiele, Pratyush Kumar Overview! Introduction! Power and Temperature Models! Analysis Real-time Analysis Worst-case Temperature Analysis! Scheduling Stop-and-go

More information

Energy Estimation for CPU-Events Dependent on Frequency Scaling and Clock Gating. Philip P. Moltmann

Energy Estimation for CPU-Events Dependent on Frequency Scaling and Clock Gating. Philip P. Moltmann Energy Estimation for CPU-Events Dependent on Frequency Scaling and Clock Gating Philip P. Moltmann System Architecture Group (IBDS Bellosa) Department of Computer Science University of Karlsruhe (TH)

More information

Scheduling for Reduced CPU Energy

Scheduling for Reduced CPU Energy Scheduling for Reduced CPU Energy M. Weiser, B. Welch, A. Demers and S. Shenker Appears in "Proceedings of the First Symposium on Operating Systems Design and Implementation," Usenix Association, November

More information

Today. ESE532: System-on-a-Chip Architecture. Energy. Message. Preclass Challenge: Power. Energy Today s bottleneck What drives Efficiency of

Today. ESE532: System-on-a-Chip Architecture. Energy. Message. Preclass Challenge: Power. Energy Today s bottleneck What drives Efficiency of ESE532: System-on-a-Chip Architecture Day 20: November 8, 2017 Energy Today Energy Today s bottleneck What drives Efficiency of Processors, FPGAs, accelerators How does parallelism impact energy? 1 2 Message

More information

Power-Saving Scheduling for Weakly Dynamic Voltage Scaling Devices

Power-Saving Scheduling for Weakly Dynamic Voltage Scaling Devices Power-Saving Scheduling for Weakly Dynamic Voltage Scaling Devices Jian-Jia Chen 1, Tei-Wei Kuo 2, and Hsueh-I Lu 2 1 Department of Computer Science and Information Engineering National Taiwan University,

More information

Automated Techniques for Energy Efficient Scheduling on Homogeneous and Heterogeneous Chip Multi-processor Architectures

Automated Techniques for Energy Efficient Scheduling on Homogeneous and Heterogeneous Chip Multi-processor Architectures Automated Techniques for Energy Efficient Scheduling on Homogeneous and Heterogeneous Chip Multi-processor Architectures Sushu Zhang Karam S. Chatha Department of Computer Science and Engineering, Arizona

More information

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression Faster Static Timing Analysis via Bus Compression by David Van Campenhout and Trevor Mudge CSE-TR-285-96 THE UNIVERSITY OF MICHIGAN Computer Science and Engineering Division Department of Electrical Engineering

More information

Mitigating Semiconductor Hotspots

Mitigating Semiconductor Hotspots Mitigating Semiconductor Hotspots The Heat is On: Thermal Management in Microelectronics February 15, 2007 Seri Lee, Ph.D. (919) 485-5509 slee@nextremethermal.com www.nextremethermal.com 1 Agenda Motivation

More information

Thermal Scheduling SImulator for Chip Multiprocessors

Thermal Scheduling SImulator for Chip Multiprocessors TSIC: Thermal Scheduling SImulator for Chip Multiprocessors Kyriakos Stavrou Pedro Trancoso CASPER group Department of Computer Science University Of Cyprus The CASPER group: Computer Architecture System

More information

Weather Research and Forecasting (WRF) Performance Benchmark and Profiling. July 2012

Weather Research and Forecasting (WRF) Performance Benchmark and Profiling. July 2012 Weather Research and Forecasting (WRF) Performance Benchmark and Profiling July 2012 Note The following research was performed under the HPC Advisory Council activities Participating vendors: Intel, Dell,

More information

A Statistical Study of the Effectiveness of BIST Jitter Measurement Techniques

A Statistical Study of the Effectiveness of BIST Jitter Measurement Techniques A Statistical Study of the Effectiveness of BIST Jitter Measurement Techniques David Bordoley, Hieu guyen, Mani Soma Department of Electrical Engineering, University of Washington, Seattle WA {bordoley,

More information

Supplementary Material for Energy-Efficient Task Scheduling on Multiple Heterogeneous Computers: Algorithms, Analysis, and Performance Evaluation

Supplementary Material for Energy-Efficient Task Scheduling on Multiple Heterogeneous Computers: Algorithms, Analysis, and Performance Evaluation IEEE TRANSACTIONS ON SUSTAINABLE COMPUTING, VOL. XX, NO. YY, MONTH 2016 1 Supplementary Material for Energy-Efficient Task Scheduling on Multiple Heterogeneous Computers: Algorithms, Analysis, and Performance

More information

Technical Building Branches. Multidisciplinary Integration of Needs. Interdisciplinary Subject BUT

Technical Building Branches. Multidisciplinary Integration of Needs. Interdisciplinary Subject BUT Usage of a Multidisciplinary GIS Platform for the Design of Building Structures Dalibor Barton k, Ji í Bureš, Aleš Dráb, Miroslav Menšík Integration of Needs in Many Technical Building Branches Civil Engineering

More information

Accelerating linear algebra computations with hybrid GPU-multicore systems.

Accelerating linear algebra computations with hybrid GPU-multicore systems. Accelerating linear algebra computations with hybrid GPU-multicore systems. Marc Baboulin INRIA/Université Paris-Sud joint work with Jack Dongarra (University of Tennessee and Oak Ridge National Laboratory)

More information

An Experimental Evaluation of Real-Time DVFS Scheduling Algorithms

An Experimental Evaluation of Real-Time DVFS Scheduling Algorithms An Experimental Evaluation of Real-Time DVFS Scheduling Algorithms Sonal Saha Thesis submitted to the Faculty of the Virginia Polytechnic Institute and State University in partial fulfilment of the requirements

More information

Evaluating Sampling Based Hotspot Detection

Evaluating Sampling Based Hotspot Detection Evaluating Sampling Based Hotspot Detection Qiang Wu and Oskar Mencer Department of Computing, Imperial College London, South Kensington, London SW7 2AZ, UK {qiangwu,oskar}@doc.ic.ac.uk http://comparch.doc.ic.ac.uk

More information

Asurge of attention is being paid to parallel processing

Asurge of attention is being paid to parallel processing 342 IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, VOL. 2, NO. 3, MARCH 200 On the Interplay of Parallelization, Program Performance, and Energy Consumption Sangyeun Cho, Member, IEEE, and Rami

More information

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester

More information

Energy-Efficient Speed Scheduling for Real-Time Tasks under Thermal Constraints

Energy-Efficient Speed Scheduling for Real-Time Tasks under Thermal Constraints Energy-Efficient Speed Scheduling for Real-Time Tasks under Thermal Constraints Shengquan Wang, Jian-Jia Chen, Zhenjun Shi, and Lothar Thiele Abstract Thermal constraints have limited the performance improvement

More information

Saving Power in the Data Center. Marios Papaefthymiou

Saving Power in the Data Center. Marios Papaefthymiou Saving Power in the Data Center Marios Papaefthymiou Energy Use in Data Centers Several MW for large facilities Responsible for sizable fraction of US electricity consumption 2006: 1.5% or 61 billion kwh

More information

Scalable and Power-Efficient Data Mining Kernels

Scalable and Power-Efficient Data Mining Kernels Scalable and Power-Efficient Data Mining Kernels Alok Choudhary, John G. Searle Professor Dept. of Electrical Engineering and Computer Science and Professor, Kellogg School of Management Director of the

More information

Real-Time and Embedded Systems (M) Lecture 5

Real-Time and Embedded Systems (M) Lecture 5 Priority-driven Scheduling of Periodic Tasks (1) Real-Time and Embedded Systems (M) Lecture 5 Lecture Outline Assumptions Fixed-priority algorithms Rate monotonic Deadline monotonic Dynamic-priority algorithms

More information

Tradeoff between Reliability and Power Management

Tradeoff between Reliability and Power Management Tradeoff between Reliability and Power Management 9/1/2005 FORGE Lee, Kyoungwoo Contents 1. Overview of relationship between reliability and power management 2. Dakai Zhu, Rami Melhem and Daniel Moss e,

More information

SMT-based Scheduling for Multiprocessor Real-Time Systems

SMT-based Scheduling for Multiprocessor Real-Time Systems SMT-based Scheduling for Multiprocessor Real-Time Systems Zhuo Cheng, Haitao Zhang, Yasuo Tan, and Yuto Lim School of Information Science, Japan Advanced Institute of Science and Technology, Japan {chengzhuo,

More information

DC-DC Converter-Aware Power Management for Battery-Operated Embedded Systems

DC-DC Converter-Aware Power Management for Battery-Operated Embedded Systems 53.2 Converter-Aware Power Management for Battery-Operated Embedded Systems Yongseok Choi and Naehyuck Chang School of Computer Science & Engineering Seoul National University Seoul, Korea naehyuck@snu.ac.kr

More information

MICROPROCESSOR REPORT. THE INSIDER S GUIDE TO MICROPROCESSOR HARDWARE

MICROPROCESSOR REPORT.   THE INSIDER S GUIDE TO MICROPROCESSOR HARDWARE MICROPROCESSOR www.mpronline.com REPORT THE INSIDER S GUIDE TO MICROPROCESSOR HARDWARE ENERGY COROLLARIES TO AMDAHL S LAW Analyzing the Interactions Between Parallel Execution and Energy Consumption By

More information

A CUDA Solver for Helmholtz Equation

A CUDA Solver for Helmholtz Equation Journal of Computational Information Systems 11: 24 (2015) 7805 7812 Available at http://www.jofcis.com A CUDA Solver for Helmholtz Equation Mingming REN 1,2,, Xiaoguang LIU 1,2, Gang WANG 1,2 1 College

More information

SP-CNN: A Scalable and Programmable CNN-based Accelerator. Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay

SP-CNN: A Scalable and Programmable CNN-based Accelerator. Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay SP-CNN: A Scalable and Programmable CNN-based Accelerator Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay Motivation Power is a first-order design constraint, especially for embedded devices. Certain

More information

CPU Consolidation versus Dynamic Voltage and Frequency Scaling in a Virtualized Multi-Core Server: Which is More Effective and When

CPU Consolidation versus Dynamic Voltage and Frequency Scaling in a Virtualized Multi-Core Server: Which is More Effective and When 1 CPU Consolidation versus Dynamic Voltage and Frequency Scaling in a Virtualized Multi-Core Server: Which is More Effective and When Inkwon Hwang, Student Member and Massoud Pedram, Fellow, IEEE Abstract

More information

An Efficient Energy-Optimal Device-Scheduling Algorithm for Hard Real-Time Systems

An Efficient Energy-Optimal Device-Scheduling Algorithm for Hard Real-Time Systems An Efficient Energy-Optimal Device-Scheduling Algorithm for Hard Real-Time Systems S. Chakravarthula 2 S.S. Iyengar* Microsoft, srinivac@microsoft.com Louisiana State University, iyengar@bit.csc.lsu.edu

More information

this reason, analysts are often concerned with designing a system that will be guaranteed to meet all deadlines of a real-time instance while minimizi

this reason, analysts are often concerned with designing a system that will be guaranteed to meet all deadlines of a real-time instance while minimizi Energy minimization techniques for real-time scheduling on multiprocessor platforms Λ Shelby Funk y Joël Goossens z Sanjoy Baruah y October 1, 2001 Abstract The scheduling of systems of periodic tasks

More information

MPI at MPI. Jens Saak. Max Planck Institute for Dynamics of Complex Technical Systems Computational Methods in Systems and Control Theory

MPI at MPI. Jens Saak. Max Planck Institute for Dynamics of Complex Technical Systems Computational Methods in Systems and Control Theory MAX PLANCK INSTITUTE November 5, 2010 MPI at MPI Jens Saak Max Planck Institute for Dynamics of Complex Technical Systems Computational Methods in Systems and Control Theory FOR DYNAMICS OF COMPLEX TECHNICAL

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 3,800 116,000 120M Open access books available International authors and editors Downloads Our

More information

Task Models and Scheduling

Task Models and Scheduling Task Models and Scheduling Jan Reineke Saarland University June 27 th, 2013 With thanks to Jian-Jia Chen at KIT! Jan Reineke Task Models and Scheduling June 27 th, 2013 1 / 36 Task Models and Scheduling

More information

Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems 1

Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems 1 Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems 1 Vishnu Swaminathan and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University 130 Hudson

More information

CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators

CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators Sandeep D souza and Ragunathan (Raj) Rajkumar Carnegie Mellon University High (Energy) Cost of Accelerators Modern-day

More information

Real-Time Systems. Event-Driven Scheduling

Real-Time Systems. Event-Driven Scheduling Real-Time Systems Event-Driven Scheduling Hermann Härtig WS 2018/19 Outline mostly following Jane Liu, Real-Time Systems Principles Scheduling EDF and LST as dynamic scheduling methods Fixed Priority schedulers

More information

Scheduling of Frame-based Embedded Systems with Rechargeable Batteries

Scheduling of Frame-based Embedded Systems with Rechargeable Batteries Scheduling of Frame-based Embedded Systems with Rechargeable Batteries André Allavena Computer Science Department Cornell University Ithaca, NY 14853 andre@cs.cornell.edu Daniel Mossé Department of Computer

More information

Research on GPU-accelerated algorithm in 3D finite difference neutron diffusion calculation method

Research on GPU-accelerated algorithm in 3D finite difference neutron diffusion calculation method NUCLEAR SCIENCE AND TECHNIQUES 25, 0501 (14) Research on GPU-accelerated algorithm in 3D finite difference neutron diffusion calculation method XU Qi ( 徐琪 ), 1, YU Gang-Lin ( 余纲林 ), 1 WANG Kan ( 王侃 ),

More information

No.5 Node Grouping in System-Level Fault Diagnosis 475 identified under above strategy is precise in the sense that all nodes in F are truly faulty an

No.5 Node Grouping in System-Level Fault Diagnosis 475 identified under above strategy is precise in the sense that all nodes in F are truly faulty an Vol.16 No.5 J. Comput. Sci. & Technol. Sept. 2001 Node Grouping in System-Level Fault Diagnosis ZHANG Dafang (± ) 1, XIE Gaogang (ΞΛ ) 1 and MIN Yinghua ( ΠΦ) 2 1 Department of Computer Science, Hunan

More information

A New Predictor-Corrector Method for Optimal Power Flow

A New Predictor-Corrector Method for Optimal Power Flow 1 st Brazilian Workshop on Interior Point Methods Federal Univesity of Technology Paraná (UTFPR) A New Predictor-Corrector Method for Optimal Power Flow Roy Wilhelm Probst 27-28 April, 2015 - Campinas,

More information