PowerField: A Transient Temperature-to-Power Technique based on Markov Random Field Theory

Size: px
Start display at page:

Download "PowerField: A Transient Temperature-to-Power Technique based on Markov Random Field Theory"

Transcription

1 PowerField: A Transient Temperature-to-Power Technique based on Markov Random Field Theory Wongyu Shin 1 wgshin@mvlsi.kaist.ac.kr Seungwook Paek 1 swpaek@mvlsi.kaist.ac.kr Jaehyeong Sim 1 jhsim@mvlsi.kaist.ac.kr Seok-Hwan Moon 2 shmoon@etri.re.kr Lee-Sup Kim 1 lskim@ee.kaist.ac.kr 1 Department of Electrical Engineering 2 Convergence Components & Materials Research Lab. KAIST ETRI Daejeon, , Korea Daejeon, , Korea ABSTRACT Transient temperature-to-power conversion is as important as steady-state analysis since power distributions tend to change dynamically. In this work, we propose PowerField framework to find the most probable power distribution from consecutive thermal images. Since the transient analysis is vulnerable to spatio-temporal thermal noise, we adopted a maximum-a-posteriori Markov random field framework to enhance the noise immunity. The most probable power map is obtained by minimizing the energy function which is calculated using an approximated transient thermal equation. Experimental results with a thermal simulator shows that PowerField outperforms the previous method in transient analysis reducing the error by half on average. We also applied our method to a real silicon achieving 90.7% accuracy. Categories and Subject Descriptors: C.4[Performance of Systems]Measurement Techniques General Terms: Algorithms, Verification, Experimentation, Measurement Keywords: Power, thermal imaging, post-silicon verification, Markov random field 1. INTRODUCTION Low power consumption and thermal safety are becoming major design considerations [1, 2]. For these goals, designers should estimate the power distribution and thermal behavior at design time in an acceptable accuracy. Those physical phenomina are getting hard to be predicted as fabrication technology evolves. To resolve the problem of these uncertainties, the power model has to be validated with postsilicon power verification. However, the direct post-silicon power measurement is quite expensive since it requires a number of on-chip measurement circuitries inside a chip[3]. In practice, it is infeasible to measure the dense power map Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. DAC 2012, June 3-7, 2012, San Francisco, California, USA. Copyright 2012 ACM /12/06...$ map Applied power pattern Steadystate 0ms 10ms 20ms Figure 1: Motivating example: results of a steadystate temperature-to-power technique on transient thermal images. directly. This is the motivation of an indirect power measurement using thermal imaging devices. Since power dissipation directly affects thermal map, it is reasonable to find an inverse transformation from temperature to power. Several approaches were proposed to extract the power map from thermal images. The first work is refered to as Spatiallyresolved Imaging of Microprocessor Power (SIMP)[4]. In this technique, the relationship between the steady-state temperature map and the power map is described as the following equation: A P = T (1) where T, P and A are temperature, power and thermal resistance matrices respectively. Each entry of the matrix T and P represents a unit silicon segment which is called as a thermal node. SIMP method also proposed a well-organized measurement setup using a scanning laser beam to obtain thematrixa. OncewegetAandT, P isobtainedbysolving (1) using a linear least-square technique[4]. Based on SIMP method, several works had brought novel improvements by adopting regularization theory[5], constrained Levenberg- 630

2 Marquardt(LM) algorithm [6] and AC thermography technique[7]. However, the previous works have a limitation in common that all the thermal images have to be steady-state. Indeed, these techniques cannot capture the correct power map if the power pattern changes in runtime. For example, many microprocessors support chip-level dynamic power and thermal management for low-power consumption and thermally safe operation[3, 8]. As the trend of runtime power optimization in chip s operation grows, a need for correct verification of temporal power changes also increases. Thus, to be more useful, a temperature-to-power converter should support not only steady-state but also transient analysis. While Martinez et al. proposed a transient power analysis of a microprocessor by using genetic algorithm[9], the resolution was limited to a functional block level. Fig. 1 shows a stark difference between a steady-state and the actual power map when transient thermal images are provided. In this example, a significant residual image of power hinders us from recognizing the actual power pattern. For many designs with more complex timevarying power patterns, the steady-state technique is not likely to produce the correct power distribution. Obviously, the fundamental reason of this mis is that the intermediate thermal images are assumed to be steady-state. Motivated by this observation, we aim to design a new framework based on a transient heat transfer equation. In order to cope with high noise sensitivity of transient analysis[6], we borrowed maximum-a-posteriori Markov random field (MAP-MRF) framework[10] including physical modeling and optimization method from computer vision which is already proven to be robust to spatio-temporal noise in many inverse applications such as stereo matching[11] and video denoising[12]. Using this framework, we find the most probable solution by regarding the power map as a two-dimensional field of random variables. When we construct a random field of power, two intrinsic properties of planar thermal systems are considered - the temperature distribution calculated by the power has to be smooth in spatial domain and the temperature change of a thermal node is affected only by the node itself and the neighboring nodes. Taking these into account, we propose a new framework for transient temperature-to-power conversion referred to as PowerField. Given two consecutive thermal images with time interval t, we calculate an energy function which measures how the current power is not probable. The energy function reflects the abovementioned thermal properties. Then the PowerField algorithm finds the most probable power distribution by minimizing the energy function using a global optimization algorithm. In this work, we used graph-cuts optimizer[13] which efficiently minimizes the MRF-based energy function. We demonstrate the performance of PowerField in two different ways. The first experiment is done with HotSpot thermal simulator[14]. In this experiment, we show that PowerField outperforms previous methods in transient analysis. Then we move on to an analysis of an FPGA chip with controlled power generation patterns in a similar way to the previous works[5, 7]. The major contributions of this paper are summarized as follows. A new formulation of transient temperature-to-power conversion problem based on MAP-MRF framework (Section 2,3). An approximate transient heat transfer formula which greatly simplifies the problem by exploiting the thermal measurement data(section 3.3). Demonstration of the effectiveness of PowerField, an implementation of proposed framework, in transient analysis using thermal simulator and real world measurement data (Section 4). The remainder of this paper is organized as follows. In Section 2, we introduce MAP-MRF framework and show how it is used to solve the temperature-to-power inversion problem. Section 3 describes the problem formulation of transient analysis by defining the energy function and the objective. In addition, we derive an approximate transient heat equation to efficiently compute the energy function. Then we show the evaluation results in Section 4. Finally, we conclude and discuss the future work in Section BACKGROUND MRF is a probability theory mostly used for analyzing the spatio-temporal dependencies of physical phenomena. Many image analysis and interpretation problems can be posed as labeling problems in which the solution is a set of labels assigned to image pixels. In temperature-to-power problem, the power value of each thermal node corresponds to a label. A labeling problem is specified in terms of a set of sites and a set of labels. A site can be a pixel or a set of pixels depending on the formulation of the thermal node network. For spatial representation, a set of site S and a neighborhood system N are defined: S = {1,...,m},N = {N i i S} (2) in which 1,...,m are indices. Let L be a set of labels which can have one of M discrete values: L = {0,...,M 1} (3) Then we can define a family of random variables: F = {F 1,...,F m} (4) on the set S. In F, each random variable F i takes a value f i in L. f = {f 1,...,f m} is called a labeling. The family F becomes an MRF on S with respect to N if and only if the following two conditions are satisfied: P(f) > 0, f F (positivity) (5) P(f i f S {i} ) = P(f i f Ni ) (Markovianity) (6) The Markovianity depicts the local characteristics of F, i.e. for each site, only neighboring sites have direct interactions with each other. With an aproximation that the heat flows through the neighboring thermal nodes for a small t, the power distribution over the thermal node network can be modeled as an MRF. Once the thermal system is modeled into MRF, what we want is to find the labeling f which maximizes the posterior probability P(f d) where d is the observation. This technique is called a MAP- MRF framework. According to the MAP-MRF theory [10], P(f d) is represented as follows: P(f d) e U(f d) (7) 631

3 where U(f d) = U(d f) + U(f) (8) = D p(d p,f p)+λ p S V p,q(f p,f q) (9) {p,q} N is the posterior energy in which λ controls the ratio of two energy components. In (9), D p(d p,f p) measures how the labelf p isunlikely toproducetheobservationd p andv p,q(f p,f q) denotes how f p disagrees with the intrinsic characteristics of the random field such as smoothness of the temperature distribution. D p(d p,f p) and V p,q(f p,f q) are also known as a data energy and a smoothness energy respectively. (9) implies that maximizing the posterior probability P(f d) is equivalent to minimizing the posterior energy U(f d). Thus, we can apply energy minimization algorithms to U(f d) to find the optimal labeling f. d w Dimension d t d h T c R c R T p C Electrical model I p 3. PROBLEM FORMULATION In this section, we formulate the problem by defining each energy term D p(d p,f p) and V p,q(f p,f q) in (9). The data energy and the smoothness energy jointly contribute to the final solution by considering error reduction and themal smoothness at the same time. The objective of the problem is to find the power configuration (labeling) f that minimizes the posterior energy U(f d). 3.1 Data Energy As introduced in Section 2, the data energy depicts the unlikeliness of the current labeling when a set of observation is given. For each thermal node p, our data energy is defined as an absolute difference between the measured temperature and the calculated temperature at t = t as follows: D p(d p,f p) = T p t(d p) T p( t,f p) (10) where T p t(d p), T p( t,f p) are the observed and the calculated temperatures respectively. 3.2 Smoothness Energy Minimizing only the data energy may not lead to the optimal solution since the measurement data contains considerable noise. In order to cope with this problem, a smoothness energy is introduced which drives the solution to satisfy our expectation. Even if the algorithm gets non-smooth thermal images, it is expected that the calculated temperature distribution with the estimated power has to be smooth which is the prior knowledge of the thermal system. Thus, the smoothness energy is defined to be proportional to a difference between the calculated temperature of a thermal node and its neighbors at t = t: V p,q(f p,f q) = T p( t,f p) T q( t,f q) α (11) where α controls the shape of the smoothness function. Since (11) is defined in a pairwise manner, it is computed for every pair of thermal nodes in the neighborhood system N. 3.3 Approximate Heat Transfer Formula In this section, we describe a thermal modeling to compute the temperature of a thermal node p at t = t which is an essential part of energy computation. Specifically, the objective of this section is to derive a formula of T p as a function of f p and t given the observed temperatures of every thermal nodes at time 0 and t. As shown in Fig. 2, Figure 2: A thermal model used in this work. ourthermalmodelis basedon awell-knownlumpedrc network model. Each pair of the die segments is connected by thermal resistors R. Each thermal node has its own power source and thermal capacitor which are denoted by I p and C respectively. The secondary heat dissipation paths such as air and C4 pads are merged into a single thermal node with R c having constant temperature T c during the time interval t. This approximation is reasonable since they have relatively high heat capacity and the t is very short (typically milisecond order). We assume that the thermal characteristics are uniform over the entire die, so every thermal resistance and capacitance have the same values of R c, R and C as follows: R c = 1 k d t,r = d w,c = 0.333cd wd h d t (12) d wd h k d h d t where k and c denote the thermal conductance and the specific heat capacity of silicon respectively. The scaling factors of 0.5 and in R and C are borrowed from the HotSpot thermal model[14]. Once the thermal behavior is modeled in a lumped RC network, we can apply various circuit analysis techniques to it. Based on Kirchhoff s current law, a heat equation is described as: ( ) Tn T p Tc Tp + +I p C dtp = 0 (13) R R c dt where N p and T n denote the set of thermal node p s neighbors and the neighbor node n s temperature. Then we can rewrite this equation to construct a first-order linear equation of T and t as: dt p dt + 1 ( 4 C R + 1 ) T p = 1 R c C ( ) Tn + Tc R R +Îfp c (14) Note that I p is replaced by Îfp where Î denotes the power per unit label. Directly solving (14) induces heavy computational cost because T n is also a function of T p. Since we know the observed temperature of the neighbor nodes, we can approximate the temperature of neighbor nodes as a linear function of t: T n(t) = T n0 + Tn t Tn0 t (15) t 632

4 where T n0 and T n t are the measured temperature of neighbornodenatt = 0and trespectively. Thisapproximation allows T p to be independent to the temperature of the neighboring nodes and simplify the problem since T p becomes a function of f p and t only. Applying (15) to (14) yields the following: dt p dt + 1 C ( 4 R + 1 ) T p = R c 1 RC Solving (16), we get the solution of T p as: (T n0 +T n t T t n0) t + Tc +Îfp (16) R c T p(t,f p) = T p0e t/τ +T (1 e t/τ ) τ + (T n t T n0) (17) RC where ( 4 τ = CR + 1 ) 1 CR c T = τ T n0 C R τ T n t T n0 t R + Tc R +Îfp c 3.4 Energy Minimization Once we have constructed the energy function, finally we can find the most plausible power map by energy minimization techniques. In other words, the objective is to find the best labeling f minimizing the energy function among all the possible configurations F: f = argminu(f d) (18) f F Since the MAP for a discrete MRF is NP-hard in general, we used an approximate technique called graph-cuts since it greatly reduces the computation time by using fast iterative expansion and swap algorithms[13]. The algorithm is guaranteed to find a strong local minimum of the energy within L iterations. In practice, the optimization process is generally finished in 5-10 iterations. 4. EVALUATION In this section, we performed two experiments with simulation results and real world measurement data. The errors are calculated by using rounded power maps which is proposed in previous works[5, 7]. 4.1 PowerField Framework All the features described in previous sections are integrated into our PowerField implementation. As shown in Fig. 3, the system receives two consecutive thermal images from a thermal imaging device or a thermal simulator. Initially, all the power values are set to zero and the system computes the corresponding energy function. Then the graph-cuts algorithm generates a power by minimizing the energy function. This process is iterated until the energy reaches the lowest value. 4.2 HotSpot Simulation Results Before we apply PowerField to real world thermal images, a fully controlled experiment with an accurate thermal simulator is performed. We used Hotspot thermal simulator t = 0 model Optimizer Measured temperature t = t Calculated temperature t = t Estimated power Smoothness + - Data Energy Function Figure 3: Overview of PowerField framework. Table 1: Important Parameters for Evaluation using Hotspot Simulator Hotspot Parameters d t 500µm d w,d h 250µm k (W/m-K) c J/m 3 -K Simulated Runtime 20 msec t 10 msec Floorplan checker (64 64) Power Trace spot, dacpower PowerField Parameters M 100 Î W α 2.0 λ which provides reliable results compared to accurate finite elements analysis[14]. The simulated runtime is set to 20ms with time interval of 10ms that reflects the microprocessors thermal time constant (5ms to 300ms)[15]. The detailed configuration of Hotspot simulator is shown in Table 1. Two power traces are applied in this experiment. The first one has a simple one-point heat source (Fig. 4-(a)) which helps to understand the difference between the steady-state method and PowerField intuitively and another one, named dacpower (Fig. 4-(b)), is used to demonstrate the superiority of our method for complex power patterns. Initially, we let the silicon to be steady-state with initial power distribution. Then we abruptly (at 0ms) switch the distribution to a very different one. As shown in Fig. 4, the results with previous method contain an amount of afterimage which disrupts identifying the correct power patterns. In contrast, PowerField outperforms the previous method in transient analysis of one-point and dacpower patterns with average errors of 0%, 6.6% respectively. 4.3 FPGA Measurement Results We applied PowerField technique to a Xilinx Virtex-4 633

5 0ms 10ms 20ms 0ms 10ms 20ms map Applied power pattern Steady-state Rounded power map (steady-state) Error 0% 5.7% 1.5% 10.8% 14.4% 10.2% PowerField Rounded power map (PowerField) Error 0% 0% 7.6% 5.6% (a) Simple one-point power pattern(spot) (b) Complex power pattern (dacpower) Figure 4: Transient analysis using HotSpot[14] thermal simulator. 2.0mm 9.62mm 14.5mm Figure 5: Floorplan of the micro heaters. FPGA chip with pre-defined power generation patterns. The thermal imaging device (FLIR A325) captures the infrared signals from the backside of the chip. The signal is stored as a raw data of the sensor response and converted to a temperature value using the calibration method described in [7]. Then the images are filtered using spatial low-pass filter to remove the significant high frequency noise. Fig. 5 shows our floorplan which consists of 3 12 micro heater blocks containing 36 free-running ring oscillators. Each micro heater consumes 46.5mW on the average. Two 36-bit registers are used to store two different enable-signals for micro heaters to construct the desired power patterns. Using an external switch, we toggle between two power patterns for transient analysis. For the experiment, a transition of power pattern from COLD to HOT is used. The thermal imaging device captures the transient thermal maps with 16ms time interval which is less than half of the entire thermal map transition. As shown in Fig. 6, our algorithm effectively estimates the correct power pattern even when the thermal map is changing and 90.7% accuracy on average was achieved with rounded power maps. 5. CONCLUSIONS In this work, we propose the use of computer vision technique, MAP-MRF framework, for transient temperature-topower inversion problem that is more general situation in real world post-silicon verification. By exploiting its robustness against spatio-temporal noise, PowerField succesfully 634

6 map 0ms 16ms 32ms Applied power pattern PowerField Rounded power map (PowerField) Error 8.3% 13.9% 5.6% Figure 6: Transient analysis using an FPGA with a transition of power pattern from COLD to HOT. estimates the correct power map from time-varying thermal images. Experimental results with a thermal simulator shows that PowerField outperforms the previous method in transient analysis reducing the error by half on average. We also applied our method to a real silicon achieving 90.7% accuracy. Our future research direction is to devise a way to obtain the model parameters such as R, R c and C directly from the measurement similar to the scanning laser beam in [4] for more reliable estimates. 6. ACKNOWLEDGEMENTS This work was supported by Basic Science Research Pro- gramthroughthenrfofkoreafundedbythemest(no , No ), IDEC, and the IT R&D program of MKE/KEIT. [KI002134, Wafer Level 3D IC Design and Integration] 7. REFERENCES [1] D. Brooks, R. P. Dick, R. Joseph, and L. Shang, Power, thermal, and reliability modeling in nanometer-scale microprocessors, IEEE Micro, vol. 27, pp , June [2] W. Huang, M. R. Stant, K. Sankaranarayanan, R. J. Ribando, and K. Skadron, Many-core design from a thermal perspective, in Proc. of Design Automation Conf., pp , June [3] C. Poirier, R. McGowen, C. Bostak, and S. Naffziger, Power and temperature control on a 90nm itanium family processor, in Int. Solid-State Circuits Conf., pp , Feb [4] H. Hamann, J. Lacey, A. Weger, and J. Wakil, Spatially-resolved imaging of microprocessor power (simp): hotspots in microprocessors, in The Tenth Intersociety Conf. on and Thermomechanical Phenomena in Electronics Systems, pp , June [5] R. Cochran, A. N. Nowroz, and S. Reda, Post-silicon power characterization using thermal infrared emissions, in Proc. Int. Symp. on Low Power Electronics and Design, pp , [6] Z. Qi, B. H. Meyer, W. Huang, R. J. Ribando, K. Skadron, and M. R. Stan, Temperature-to-power mapping, in Proc. Int. Conf. on Computer Design, pp , Oct [7] A. Nowroz, G. Woods, and S. Reda, Improved post-silicon power modeling using ac lock-in techniques, in Proc. Design Automation Conf., pp , June [8] P. Salihundam, S. Jain, T. Jacob, S. Kumar, V. Erraguntla, Y. Hoskote, S. Vangal, G. Ruhl, and N. Borkar, A 2 tb/s 6 4 mesh network for a single-chip cloud computer with dvfs in 45 nm cmos, IEEE Journal of Solid-State Circuits, vol. 46, pp , Apr [9] F. J. Mesa-Martinez, J. Nayfah-Battilana, and J. Renau, Power model validation through thermal measurements, in Proc. Int. Symp. on Computer Architecture, pp , June [10] R. Kindermann and J. L. Snell, Markov Random Fields and Their Applications. American Mathematical Society, [11] V. Kolmogorov and R. Zabih, Computing visual correspondence with occlusions using graph cuts, in Proc. of Int. Conf. on Computer Vision, vol. 2, pp , Jul [12] J. Chen and C.-K. Tang, Spatio-temporal markov random field for video denoising, in Conf. on Computer Vision and Pattern Recognition, pp. 1 8, June [13] Y. Boykov, O. Veksler, and R. Zabih, Fast approximate energy minimization via graph cuts, IEEE Trans. on Pattern Analysis and Machine Intelligence, vol. 23, pp , Nov [14] W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, and M. Stan, Hotspot: A compact thermal modeling methodology for early-stage vlsi design, IEEE Trans. on Very Large Scale Integration Systems, vol. 14, pp , May [15] F. J. Mesa-Martinez, E. K. Ardestani, and J. Renau, Characterizing processor thermal behavior, in Proc. of Int. Conf. on Architectural Support for Programming Languages and Operating Systems, pp , Mar

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Duo Li Dept. of Electrical Engineering University of California Riverside, CA 951 dli@ee.ucr.edu Sheldon X.-D. Tan Dept. of Electrical

More information

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors Technical Report GIT-CERCS Thermal Field Management for Many-core Processors Minki Cho, Nikhil Sathe, Sudhakar Yalamanchili and Saibal Mukhopadhyay School of Electrical and Computer Engineering Georgia

More information

Electric-Energy Generation Using Variable-Capacitive Resonator for Power-Free LSI: Efficiency Analysis and Fundamental Experiment

Electric-Energy Generation Using Variable-Capacitive Resonator for Power-Free LSI: Efficiency Analysis and Fundamental Experiment Electric-Energy Generation Using Variable-Capacitive Resonator for Power-Free SI: Efficiency Analysis and Fundamental Experiment Masayuki Miyazaki, Hidetoshi Tanaka, Goichi Ono, Tomohiro Nagano*, Norio

More information

Thermal and Power Characterization of Real Computing Devices

Thermal and Power Characterization of Real Computing Devices 76 IEEE TRANSACTIONS ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, VOL. 1, NO. 2, JUNE 2011 Thermal and Power Characterization of Real Computing Devices Sherief Reda, Member, IEEE (Invited Paper)

More information

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester

More information

Thermomechanical Stress-Aware Management for 3-D IC Designs

Thermomechanical Stress-Aware Management for 3-D IC Designs 2678 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 9, SEPTEMBER 2017 Thermomechanical Stress-Aware Management for 3-D IC Designs Qiaosha Zou, Member, IEEE, Eren Kursun,

More information

Online Work Maximization under a Peak Temperature Constraint

Online Work Maximization under a Peak Temperature Constraint Online Work Maximization under a Peak Temperature Constraint Thidapat Chantem Department of CSE University of Notre Dame Notre Dame, IN 46556 tchantem@nd.edu X. Sharon Hu Department of CSE University of

More information

Test Generation for Designs with Multiple Clocks

Test Generation for Designs with Multiple Clocks 39.1 Test Generation for Designs with Multiple Clocks Xijiang Lin and Rob Thompson Mentor Graphics Corp. 8005 SW Boeckman Rd. Wilsonville, OR 97070 Abstract To improve the system performance, designs with

More information

Feasibility Study of Capacitive Tomography

Feasibility Study of Capacitive Tomography Feasibility Study of apacitive Tomography Tony Warren Southern Polytechnic State University 1100 South Marietta Parkway Marietta, GA 30060 678-915-7269 twarren@spsu.edu Daren R. Wilcox Southern Polytechnic

More information

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester Rochester,

More information

HIGH-PERFORMANCE circuits consume a considerable

HIGH-PERFORMANCE circuits consume a considerable 1166 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL 17, NO 11, NOVEMBER 1998 A Matrix Synthesis Approach to Thermal Placement Chris C N Chu D F Wong Abstract In this

More information

Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips

Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips Minki Cho, William Song, Sudhakar Yalamanchili, and

More information

INTEGRATION, the VLSI journal

INTEGRATION, the VLSI journal INTEGRATION, the VLSI journal 46 (2013) 69 79 Contents lists available at SciVerse ScienceDirect INTEGRATION, the VLSI journal journal homepage: www.elsevier.com/locate/vlsi Post-silicon power mapping

More information

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs A. Keshavarzi, S. Ma, S. Narendra, B. Bloechel, K. Mistry*, T. Ghani*, S. Borkar and V. De Microprocessor Research Labs,

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems

Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems Dongkun Shin School of Computer Science and Engineering Seoul National University sdk@davinci.snu.ac.kr Jihong Kim

More information

Information Storage Capacity of Crossbar Switching Networks

Information Storage Capacity of Crossbar Switching Networks Information Storage Capacity of Crossbar Switching etworks ABSTRACT In this work we ask the fundamental uestion: How many bits of information can be stored in a crossbar switching network? The answer is

More information

Energy Minimization via Graph Cuts

Energy Minimization via Graph Cuts Energy Minimization via Graph Cuts Xiaowei Zhou, June 11, 2010, Journal Club Presentation 1 outline Introduction MAP formulation for vision problems Min-cut and Max-flow Problem Energy Minimization via

More information

Leakage Minimization Using Self Sensing and Thermal Management

Leakage Minimization Using Self Sensing and Thermal Management Leakage Minimization Using Self Sensing and Thermal Management Alireza Vahdatpour Computer Science Department University of California, Los Angeles alireza@cs.ucla.edu Miodrag Potkonjak Computer Science

More information

Maximum Achievable Gain of a Two Port Network

Maximum Achievable Gain of a Two Port Network Maximum Achievable Gain of a Two Port Network Ali Niknejad Siva Thyagarajan Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2016-15 http://www.eecs.berkeley.edu/pubs/techrpts/2016/eecs-2016-15.html

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

Blind Identification of Power Sources in Processors

Blind Identification of Power Sources in Processors Blind Identification of Power Sources in Processors Sherief Reda School of Engineering Brown University, Providence, RI 2912 Email: sherief reda@brown.edu Abstract The ability to measure power consumption

More information

Transient Through-Silicon Hotspot Imaging

Transient Through-Silicon Hotspot Imaging Transient Through-Silicon Hotspot Imaging 1 MEPTEC Heat Is On Symposium March 19, 2012 K. Yazawa* Ph.D. Research, Microsanj LLC., D. Kendig (Microsanj), A. Shakouri (Purdue Univ.) Info@microsanj.com +1

More information

Thermal Measurements & Characterizations of Real. Processors. Honors Thesis Submitted by. Shiqing, Poh. In partial fulfillment of the

Thermal Measurements & Characterizations of Real. Processors. Honors Thesis Submitted by. Shiqing, Poh. In partial fulfillment of the Thermal Measurements & Characterizations of Real Processors Honors Thesis Submitted by Shiqing, Poh In partial fulfillment of the Sc.B. In Electrical Engineering Brown University Prepared under the Direction

More information

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng.

More information

Design Methodology and Tools for NEC Electronics Structured ASIC ISSP

Design Methodology and Tools for NEC Electronics Structured ASIC ISSP Design Methodology and Tools for NEC Electronics Structured ASIC ISSP Takumi Okamoto NEC Corporation 75 Shimonumabe, Nakahara-ku, Kawasaki, Kanagawa -8666, Japan okamoto@ct.jp.nec.com Tsutomu Kimoto Naotaka

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

On Optimal Physical Synthesis of Sleep Transistors

On Optimal Physical Synthesis of Sleep Transistors On Optimal Physical Synthesis of Sleep Transistors Changbo Long, Jinjun Xiong and Lei He {longchb, jinjun, lhe}@ee.ucla.edu EE department, University of California, Los Angeles, CA, 90095 ABSTRACT Considering

More information

Extremely small differential non-linearity in a DMOS capacitor based cyclic ADC for CMOS image sensors

Extremely small differential non-linearity in a DMOS capacitor based cyclic ADC for CMOS image sensors Extremely small differential non-linearity in a DMOS capacitor based cyclic ADC for CMOS image sensors Zhiheng Wei 1a), Keita Yasutomi ) and Shoji Kawahito b) 1 Graduate School of Science and Technology,

More information

Part 6: Structured Prediction and Energy Minimization (1/2)

Part 6: Structured Prediction and Energy Minimization (1/2) Part 6: Structured Prediction and Energy Minimization (1/2) Providence, 21st June 2012 Prediction Problem Prediction Problem y = f (x) = argmax y Y g(x, y) g(x, y) = p(y x), factor graphs/mrf/crf, g(x,

More information

Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach. Hwisung Jung, Massoud Pedram

Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach. Hwisung Jung, Massoud Pedram Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach Hwisung Jung, Massoud Pedram Outline Introduction Background Thermal Management Framework Accuracy of Modeling Policy Representation

More information

Accurate Temperature Estimation for Efficient Thermal Management

Accurate Temperature Estimation for Efficient Thermal Management 9th International Symposium on Quality Electronic Design Accurate emperature Estimation for Efficient hermal Management Shervin Sharifi, ChunChen Liu, ajana Simunic Rosing Computer Science and Engineering

More information

Through Silicon Via-Based Grid for Thermal Control in 3D Chips

Through Silicon Via-Based Grid for Thermal Control in 3D Chips Through Silicon Via-Based Grid for Thermal Control in 3D Chips José L. Ayala 1, Arvind Sridhar 2, Vinod Pangracious 2, David Atienza 2, and Yusuf Leblebici 3 1 Dept. of Computer Architecture and Systems

More information

DESIGN OF LOW POWER-DELAY PRODUCT CARRY LOOK AHEAD ADDER USING MANCHESTER CARRY CHAIN

DESIGN OF LOW POWER-DELAY PRODUCT CARRY LOOK AHEAD ADDER USING MANCHESTER CARRY CHAIN International Conference on Systems, Science, Control, Communication, Engineering and Technology 64 International Conference on Systems, Science, Control, Communication, Engineering and Technology 2015

More information

Analytical Model for Sensor Placement on Microprocessors

Analytical Model for Sensor Placement on Microprocessors Analytical Model for Sensor Placement on Microprocessors Kyeong-Jae Lee, Kevin Skadron, and Wei Huang Departments of Computer Science, and Electrical and Computer Engineering University of Virginia kl2z@alumni.virginia.edu,

More information

Efficient Incremental Analysis of On-Chip Power Grid via Sparse Approximation

Efficient Incremental Analysis of On-Chip Power Grid via Sparse Approximation Efficient Incremental Analysis of On-Chip Power Grid via Sparse Approximation Pei Sun and Xin Li ECE Department, Carnegie Mellon University 5000 Forbes Avenue, Pittsburgh, PA 1513 {peis, xinli}@ece.cmu.edu

More information

Itanium TM Processor Clock Design

Itanium TM Processor Clock Design Itanium TM Processor Design Utpal Desai 1, Simon Tam, Robert Kim, Ji Zhang, Stefan Rusu Intel Corporation, M/S SC12-502, 2200 Mission College Blvd, Santa Clara, CA 95052 ABSTRACT The Itanium processor

More information

Heap Charge Pump Optimisation by a Tapered Architecture

Heap Charge Pump Optimisation by a Tapered Architecture R. Arona, E. Bonizzoni, F. Maloberti, G. Torelli: "Heap Charge Pump Optimisation by a Tapered Architecture"; Proc. of the IEEE International Symposium on Circuits and Systems, ISCAS 2005, Kobe, 23-26 May,

More information

DC-DC Converter-Aware Power Management for Battery-Operated Embedded Systems

DC-DC Converter-Aware Power Management for Battery-Operated Embedded Systems 53.2 Converter-Aware Power Management for Battery-Operated Embedded Systems Yongseok Choi and Naehyuck Chang School of Computer Science & Engineering Seoul National University Seoul, Korea naehyuck@snu.ac.kr

More information

Early-stage Power Grid Analysis for Uncertain Working Modes

Early-stage Power Grid Analysis for Uncertain Working Modes Early-stage Power Grid Analysis for Uncertain Working Modes Haifeng Qian Department of ECE University of Minnesota Minneapolis, MN 55414 qianhf@ece.umn.edu Sani R. Nassif IBM Austin Research Labs 11400

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems

Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems Shahin Golshan 1, Eli Bozorgzadeh 1, Benamin C Schafer 2, Kazutoshi Wakabayashi 2, Houman Homayoun 1 and Alex Veidenbaum

More information

Test Pattern Generator for Built-in Self-Test using Spectral Methods

Test Pattern Generator for Built-in Self-Test using Spectral Methods Test Pattern Generator for Built-in Self-Test using Spectral Methods Alok S. Doshi and Anand S. Mudlapur Auburn University 2 Dept. of Electrical and Computer Engineering, Auburn, AL, USA doshias,anand@auburn.edu

More information

Maximum Effective Distance of On-Chip Decoupling Capacitors in Power Distribution Grids

Maximum Effective Distance of On-Chip Decoupling Capacitors in Power Distribution Grids Maximum Effective Distance of On-Chip Decoupling Capacitors in Power Distribution Grids Mikhail Popovich Eby G. Friedman Dept. of Electrical and Computer Engineering University of Rochester Rochester,

More information

Optimal Common-Centroid-Based Unit Capacitor Placements for Yield Enhancement of Switched-Capacitor Circuits

Optimal Common-Centroid-Based Unit Capacitor Placements for Yield Enhancement of Switched-Capacitor Circuits Optimal Common-Centroid-Based Unit Capacitor Placements for Yield Enhancement of Switched-Capacitor Circuits CHIEN-CHIH HUANG, National Central University CHIN-LONG WEY, National Chiao Tung University

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets Krit Athikulwongse, Xin Zhao, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology

More information

Energy-Optimal Dynamic Thermal Management for Green Computing

Energy-Optimal Dynamic Thermal Management for Green Computing Energy-Optimal Dynamic Thermal Management for Green Computing Donghwa Shin, Jihun Kim and Naehyuck Chang Seoul National University, Korea {dhshin, jhkim, naehyuck} @elpl.snu.ac.kr Jinhang Choi, Sung Woo

More information

Design of On-interposer Active Power Distribution Network for an Efficient Simultaneous Switching Noise Suppression in 2.5D/3D IC

Design of On-interposer Active Power Distribution Network for an Efficient Simultaneous Switching Noise Suppression in 2.5D/3D IC Design of On-interposer Active Power Distribution Network for an Efficient Simultaneous Switching Noise Suppression in 2.5D/3D IC Subin Kim 1 and Joungho Kim a Department of Electrical Engineering, Korea

More information

MM74C912 6-Digit BCD Display Controller/Driver

MM74C912 6-Digit BCD Display Controller/Driver 6-Digit BCD Display Controller/Driver General Description The display controllers are interface elements, with memory, that drive a 6-digit, 8-segment LED display. The display controllers receive data

More information

Blind Identification of Thermal Models and Power Sources from Thermal Measurements

Blind Identification of Thermal Models and Power Sources from Thermal Measurements in IEEE Sensors Journal 1 Blind Identification of Thermal Models and Power Sources from Thermal Measurements Sherief Reda, Senior Member, IEEE, Kapil Dev Member, IEEE, and Adel Belouchrani Senior Member,

More information

Parameterized Architecture-Level Dynamic Thermal Models for Multicore Microprocessors

Parameterized Architecture-Level Dynamic Thermal Models for Multicore Microprocessors Parameterized Architecture-Level Dynamic Thermal Models for Multicore Microprocessors DUO LI and SHELDON X.-D. TAN University of California at Riverside and EDUARDO H. PACHECO and MURLI TIRUMALA Intel

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

Copyright 1996, by the author(s). All rights reserved.

Copyright 1996, by the author(s). All rights reserved. Copyright 1996, by the author(s). All rights reserved. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are

More information

A Fast Leakage Aware Thermal Simulator for 3D Chips

A Fast Leakage Aware Thermal Simulator for 3D Chips A Fast Leakage Aware Thermal Simulator for 3D Chips Hameedah Sultan School of Information Technology Indian Institute of Technology, New Delhi, India Email: hameedah@cse.iitd.ac.in Smruti R. Sarangi Computer

More information

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Francesco Zanini, David Atienza, Ayse K. Coskun, Giovanni De Micheli Integrated Systems Laboratory (LSI), EPFL,

More information

CS 436 HCI Technology Basic Electricity/Electronics Review

CS 436 HCI Technology Basic Electricity/Electronics Review CS 436 HCI Technology Basic Electricity/Electronics Review *Copyright 1997-2008, Perry R. Cook, Princeton University August 27, 2008 1 Basic Quantities and Units 1.1 Charge Number of electrons or units

More information

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC. Digital Integrated Circuits The Wire * Fuyuzhuo *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk Introduction The Wire transmitters receivers schematics physical 2 Interconnect Impact

More information

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA Dynamic Power Management under Uncertain Information Hwisung Jung and Massoud Pedram University of Southern California Los Angeles CA Agenda Introduction Background Stochastic Decision-Making Framework

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

A Generative Perspective on MRFs in Low-Level Vision Supplemental Material

A Generative Perspective on MRFs in Low-Level Vision Supplemental Material A Generative Perspective on MRFs in Low-Level Vision Supplemental Material Uwe Schmidt Qi Gao Stefan Roth Department of Computer Science, TU Darmstadt 1. Derivations 1.1. Sampling the Prior We first rewrite

More information

Integer Least Squares: Sphere Decoding and the LLL Algorithm

Integer Least Squares: Sphere Decoding and the LLL Algorithm Integer Least Squares: Sphere Decoding and the LLL Algorithm Sanzheng Qiao Department of Computing and Software McMaster University 28 Main St. West Hamilton Ontario L8S 4L7 Canada. ABSTRACT This paper

More information

Sequential Equivalence Checking without State Space Traversal

Sequential Equivalence Checking without State Space Traversal Sequential Equivalence Checking without State Space Traversal C.A.J. van Eijk Design Automation Section, Eindhoven University of Technology P.O.Box 53, 5600 MB Eindhoven, The Netherlands e-mail: C.A.J.v.Eijk@ele.tue.nl

More information

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Francesco Zanini, David Atienza, Ayse K. Coskun, Giovanni De Micheli Integrated Systems Laboratory (LSI), EPFL,

More information

Evaluating Power. Introduction. Power Evaluation. for Altera Devices. Estimating Power Consumption

Evaluating Power. Introduction. Power Evaluation. for Altera Devices. Estimating Power Consumption Evaluating Power for Altera Devices May 1999, ver. 3 Application Note 74 Introduction A critical element of system reliability is the capacity of electronic devices to safely dissipate the heat generated

More information

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Table S1 Comparison of cooling performance of various thermoelectric (TE) materials and device architectures

More information

Proceedings of the IPACK2009 ASME InterPACK 09 July 19-23, 2009, San Francisco, California, USA

Proceedings of the IPACK2009 ASME InterPACK 09 July 19-23, 2009, San Francisco, California, USA Proceedings of the IPACK009 ASME InterPACK 09 July 9-3, 009, San Francisco, California, USA IPACK009-87 FAST THERMAL ANALYSIS OF VERTICALLY INTEGRATED CIRCUITS (3-D ICS) USING POWER BLURRING METHOD Je-Hyoung

More information

Compact Thermal Modeling for Temperature-Aware Design

Compact Thermal Modeling for Temperature-Aware Design Compact Thermal Modeling for Temperature-Aware Design Wei Huang, Mircea R. Stan, Kevin Skadron, Karthik Sankaranarayanan Shougata Ghosh, Sivakumar Velusamy Departments of Electrical and Computer Engineering,

More information

Lab #4 Capacitors and Inductors. Capacitor Transient and Steady State Response

Lab #4 Capacitors and Inductors. Capacitor Transient and Steady State Response Capacitor Transient and Steady State Response Like resistors, capacitors are also basic circuit elements. Capacitors come in a seemingly endless variety of shapes and sizes, and they can all be represented

More information

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV Capacitance - 1 The parallel plate capacitor Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV Charge separation in a parallel-plate capacitor causes an internal

More information

Analytical Heat Transfer Model for Thermal Through-Silicon Vias

Analytical Heat Transfer Model for Thermal Through-Silicon Vias Analytical Heat Transfer Model for Thermal Through-Silicon Vias Hu Xu, Vasilis F. Pavlidis, and Giovanni De Micheli LSI - EPFL, CH-1015, Switzerland Email: {hu.xu, vasileios.pavlidis, giovanni.demicheli}@epfl.ch

More information

Determining Appropriate Precisions for Signals in Fixed-Point IIR Filters

Determining Appropriate Precisions for Signals in Fixed-Point IIR Filters 38.3 Determining Appropriate Precisions for Signals in Fixed-Point IIR Filters Joan Carletta Akron, OH 4435-3904 + 330 97-5993 Robert Veillette Akron, OH 4435-3904 + 330 97-5403 Frederick Krach Akron,

More information

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3 MOSIS REPORT Spring 2010 MOSIS Report 1 MOSIS Report 2 MOSIS Report 3 MOSIS Report 1 Design of 4-bit counter using J-K flip flop I. Objective The purpose of this project is to design one 4-bit counter

More information

The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization

The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization Jia Wang, Shiyan Hu Department of Electrical and Computer Engineering Michigan Technological University Houghton, Michigan

More information

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms Chang-Chih Chen and Linda Milor School of Electrical and Comptuer Engineering, Georgia Institute of Technology,

More information

Throughput of Multi-core Processors Under Thermal Constraints

Throughput of Multi-core Processors Under Thermal Constraints Throughput of Multi-core Processors Under Thermal Constraints Ravishankar Rao, Sarma Vrudhula, and Chaitali Chakrabarti Consortium for Embedded Systems Arizona State University Tempe, AZ 85281, USA {ravirao,

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 17, 2018 I/O Circuits, Inductive Noise, CLK Generation Lecture Outline! Packaging! Variation and Testing! I/O Circuits! Inductive

More information

Parameterized Transient Thermal Behavioral Modeling For Chip Multiprocessors

Parameterized Transient Thermal Behavioral Modeling For Chip Multiprocessors Parameterized Transient Thermal Behavioral Modeling For Chip Multiprocessors Duo Li and Sheldon X-D Tan Dept of Electrical Engineering University of California Riverside, CA 95 Eduardo H Pacheco and Murli

More information

Interconnect Lifetime Prediction for Temperature-Aware Design

Interconnect Lifetime Prediction for Temperature-Aware Design Interconnect Lifetime Prediction for Temperature-Aware Design UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-23-2 NOVEMBER 23 Zhijian Lu, Mircea Stan, John Lach, Kevin Skadron Departments

More information

SP-CNN: A Scalable and Programmable CNN-based Accelerator. Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay

SP-CNN: A Scalable and Programmable CNN-based Accelerator. Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay SP-CNN: A Scalable and Programmable CNN-based Accelerator Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay Motivation Power is a first-order design constraint, especially for embedded devices. Certain

More information

Memory Thermal Management 101

Memory Thermal Management 101 Memory Thermal Management 101 Overview With the continuing industry trends towards smaller, faster, and higher power memories, thermal management is becoming increasingly important. Not only are device

More information

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs NANO: Brief Reports and Reviews Vol. 2, No. 4 (27) 233 237 c World Scientific Publishing Company ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs MICHAEL L. P. TAN, ISMAIL

More information

Using Computational Fluid Dynamics And Analysis Of Microchannel Heat Sink

Using Computational Fluid Dynamics And Analysis Of Microchannel Heat Sink International Journal of Engineering Inventions e-issn: 2278-7461, p-issn: 2319-6491 Volume 4, Issue 12 [Aug. 2015] PP: 67-74 Using Computational Fluid Dynamics And Analysis Of Microchannel Heat Sink M.

More information

A Novel LUT Using Quaternary Logic

A Novel LUT Using Quaternary Logic A Novel LUT Using Quaternary Logic 1*GEETHA N S 2SATHYAVATHI, N S 1Department of ECE, Applied Electronics, Sri Balaji Chockalingam Engineering College, Arani,TN, India. 2Assistant Professor, Department

More information

Categories and Subject Descriptors C.4 [Perfomance of Systems]: Reliability, availability, and serviceability

Categories and Subject Descriptors C.4 [Perfomance of Systems]: Reliability, availability, and serviceability Distributed Task Migration for Thermal Management in Many-core Systems Yang Ge, Parth Malani, Qinru Qiu Department of Electrical and Computer Engineering Binghamton University, State University of ew York

More information

Design and Implementation of Carry Tree Adders using Low Power FPGAs

Design and Implementation of Carry Tree Adders using Low Power FPGAs 1 Design and Implementation of Carry Tree Adders using Low Power FPGAs Sivannarayana G 1, Raveendra babu Maddasani 2 and Padmasri Ch 3. Department of Electronics & Communication Engineering 1,2&3, Al-Ameer

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 10, OCTOBER

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 10, OCTOBER IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL 17, NO 10, OCTOBER 2009 1495 Architecture-Level Thermal Characterization for Multicore Microprocessors Duo Li, Student Member, IEEE,

More information

by M. Kaluza*, I. Papagiannopoulos**, G. De Mey **, V. Chatziathanasiou***, A. Hatzopoulos*** and B. Wiecek*

by M. Kaluza*, I. Papagiannopoulos**, G. De Mey **, V. Chatziathanasiou***, A. Hatzopoulos*** and B. Wiecek* 11 th International Conference on Quantitative InfraRed Thermography Thermographic Measurements of Integrated Spiral Inductors by M. Kaluza*, I. Papagiannopoulos**, G. De Mey **, V. Chatziathanasiou***,

More information

An Algorithm for Numerical Reference Generation in Symbolic Analysis of Large Analog Circuits

An Algorithm for Numerical Reference Generation in Symbolic Analysis of Large Analog Circuits An Algorithm for Numerical Reference Generation in Symbolic Analysis of Large Analog Circuits Ignacio García-Vargas, Mariano Galán, Francisco V. Fernández and Angel Rodríguez-Vázquez IMSE, Centro Nacional

More information

Imago: open-source toolkit for 2D chemical structure image recognition

Imago: open-source toolkit for 2D chemical structure image recognition Imago: open-source toolkit for 2D chemical structure image recognition Viktor Smolov *, Fedor Zentsev and Mikhail Rybalkin GGA Software Services LLC Abstract Different chemical databases contain molecule

More information

Sensors and Actuators Sensors Physics

Sensors and Actuators Sensors Physics Sensors and Actuators Sensors Physics Sander Stuijk (s.stuijk@tue.nl) Department of Electrical Engineering Electronic Systems HEMOESISIVE SENSOS (Chapter 16.3) 3 emperature sensors placement excitation

More information

Submitted to IEEE Trans. on Components, Packaging, and Manufacturing Technology

Submitted to IEEE Trans. on Components, Packaging, and Manufacturing Technology Temperature Sensor Distribution, Measurement Uncertainty, and Data Interpretation for Microprocessor Hotspots Josef Miler 1, Keivan Etessam-Yazdani 2, Mehdi Asheghi 1, Maxat Touzelbaev 3, and Kenneth E.

More information

Measurement and Modeling of MOS Transistor Current Mismatch in Analog IC s

Measurement and Modeling of MOS Transistor Current Mismatch in Analog IC s Measurement and Modeling of MOS Transistor Current Mismatch in Analog IC s Eric Felt Amit Narayan Alberto Sangiovanni-Vincentelli Department of Electrical Engineering and Computer Sciences University of

More information

Switching Activity Calculation of VLSI Adders

Switching Activity Calculation of VLSI Adders Switching Activity Calculation of VLSI Adders Dursun Baran, Mustafa Aktan, Hossein Karimiyan and Vojin G. Oklobdzija School of Electrical and Computer Engineering The University of Texas at Dallas, Richardson,

More information

System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage

System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage Lei He, Weiping Liao and Mircea R. Stan EE Department, University of California, Los Angeles 90095 ECE Department,

More information

The Study of a Digital Equivalent Capacitor Circuit

The Study of a Digital Equivalent Capacitor Circuit Vol.7, No. (0), pp. http://dx.doi.org/0.7/ijhit.0.7..0 The Study of a Digital Equivalent Capacitor Circuit QingHua Shang, Wenjie Cao, hen Huang and Xiaoqing hang School of Electrical and Electronic Engineering

More information

Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems

Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems Vishnu Swaminathan and Krishnendu Chakrabarty Department of Electrical & Computer Engineering Duke University Durham,

More information

A Novel Software Solution for Localized Thermal Problems

A Novel Software Solution for Localized Thermal Problems A Novel Software Solution for Localized Thermal Problems Sung Woo Chung 1,* and Kevin Skadron 2 1 Division of Computer and Communication Engineering, Korea University, Seoul 136-713, Korea swchung@korea.ac.kr

More information

Switched Mode Power Conversion Prof. L. Umanand Department of Electronics Systems Engineering Indian Institute of Science, Bangalore

Switched Mode Power Conversion Prof. L. Umanand Department of Electronics Systems Engineering Indian Institute of Science, Bangalore Switched Mode Power Conversion Prof. L. Umanand Department of Electronics Systems Engineering Indian Institute of Science, Bangalore Lecture - 19 Modeling DC-DC convertors Good day to all of you. Today,

More information

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS H I G H S P E E D D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m / p c b INTRODUCTION Three Dimensional Integrated

More information