Local Joule Heating and Overall Resistance Increase

Size: px
Start display at page:

Download "Local Joule Heating and Overall Resistance Increase"

Transcription

1 Journal in oid-containing of ELECTRONIC MATERIALS, Aluminum ol. 30, Interconnects No. 4, Special Issue Paper Local Joule Heating and Overall Resistance Increase in oid-containing Aluminum Interconnects Y.-L. SHEN The University of New Mexico, Department of Mechanical Engineering, Albuquerque, NM 87131; Local Joule heating and the overall resistance change due to void formation in aluminum interconnects were studied numerically. In the model the TiN/Al/TiN metallization stack is embedded within the SiO 2 dielectric. Three-dimensional finite element analyses, taking into account the current shunting into the barrier layer and the coupling between heat conduction and electrical conduction, were carried out. The temperature field and overall resistance increase were obtained for various combinations of void geometry and applied current densities. It was found that the Joule heat produced at the void site is largely conducted away by the Al line, leading to only small temperature gradients along the interconnect. The voiding-induced temperature rise is significant only under very high current densities and when the void is very large. The overall resistance increase is dominated by the void geometry, not by the Joule heat and the inherent high resistivity of the barrier layer material. Key words: Aluminum, interconnect, Joule heating, electrical resistance, numerical modeling INTRODUCTION In multilayer interconnects, thin barrier layers such as titanium nitride (TiN) directly above and below aluminum (Al) lines can act as alternative conducting paths. In cases where sufficiently large voids caused by electromigration and/or thermal stresses develop in the Al line, the electrical current can be shunted to the barrier layers. This has been regarded as a built-in reliability feature. 1 As highperformance devices are being developed, the reliability of this feature itself is subject to investigation. It has been proposed that local Joule heating due to current shunting into a barrier layer at a void in the Al or copper (Cu) metallization is primarily responsible for the detectable resistance increase of the interconnect under test conditions. 2 The stronger local heating is conceived by considering current crowding and the fact that the barrier layer normally has a much higher resistivity compared to Al and Cu. A quantitative understanding of this effect, however, remains to be explored since the void-containing metal stack is a complex three-dimensional structure which is not readily amenable to simple analytical predic- (Received October 9, 2000; accepted January 10, 2001) tions. Furthermore, it has been experimentally demonstrated that the resistance increase of Al interconnects is directly related to the void configuration. 3 6 This at least implies that the overall resistance change is associated with the existence of the voids themselves and is not necessarily influenced highly by the barrier layers and local Joule heating. In this study we seek to address the above materials/geometrical issues by recourse to three-dimensional finite element analyses. The specific objectives include: To quantitatively predict the local temperature rise due to voiding-induced current redistribution in the model TiN/Al/TiN interconnect structure, to see if it is of significant importance compared to the undamaged structure To analyze the resistance change associated with voiding damage, with and without the incorporation of barrier layers in the structure, for examining the relative contributions of pure voiding in Al and conducting path-induced local Joule heating to the overall resistance increase, and To numerically characterize the effects of void geometry on interconnect resistance in a systematic manner 367

2 368 Shen NUMERICAL MODEL AND APPROACH Figure 1a shows the interconnect geometry used in the modeling. The aluminum line, with height 0.7 µm and width 1 µm, is sandwiched between two 0.15 µmthick TiN layers, and the metal stack is laterally surrounded by the silicon dioxide (SiO 2 ) dielectric spanning 0.5 µm on four sides. The length of the model (l) is taken to be 5 µm. There is no silicon substrate included in the model. A side void exists at x = l/2 in Al. The void is assumed to have straight sidewalls through the thickness of Al. For the purposes of carrying out a systematic analysis of the effects of void configuration, the void is taken to be brickshaped. Figure 1b shows a plane view of the Al line at a fixed z. The void geometry is specified by its dimensions along the length direction l v and along the width direction w v. arious combinations of l v and w v are used to simulate a variety of void geometries. The coupled electrical-thermal analysis under steady state was performed. The flow of electrical current is described by Ohm s law: a J = 1 ρ φ (1) where J is current density vector, ρ is electrical resistivity, φ is electrical potential and represents the gradient operation. The governing equation, written in variational form, for the electrical analysis is δφ Jd = δφ( J n) ds where δ is the variational symbol, and S are volume and surface of the body, n represents the outward unit normal vector, and means inner product between two vectors. The steady-sate heat conduction is described by k( δt) ( T) d = δtρ( J J) d S + S (2) ( δ T) qds (3) where k is thermal conductivity, T is temperature, and q is heat flux flowing into the body. Coupling arises from two sources: the conductivity in the electrical problem is temperature dependent, and the Joule heat generated in the thermal problem is a function of electrical current. Equations 2 and 3 are solved simultaneously for both temperature and electrical potential at the nodal points of the finite element model. Within the region of SiO 2 only the thermal analysis is considered. The material properties used for the calculation are listed in Table I. 7-9 All interfaces between dissimilar materials were taken to be in perfect thermal contact. 10 In performing the modeling an effective current density is imposed at the end of the TiN/Al/TiN line on the plane x = 0. The electrical potential at the other end of the metal stack is set to vanish. This produces b Fig. 1. (a) Three-dimensional interconnect structure used in the present study; (b) a section view (along the xy plane) showing the geometry of the void in aluminum. Table I. Material Properties used in the Numerical Analysis* Al TiN SiO 2 k (W/m(K) at 300 K K K K ρ (Ω m) at 293 K K *A linear variation of properties with temperature between the indicated temperatures and a constant value below the lowest temperature indicated are assumed. a near-uniform current distribution at locations away from the void site, i.e., near x = 0 and x = l. A fixed temperature of 20 C is imposed at the bottom surface z = 0. The top surface is assumed to have a uniform heat flux flowing along the negative z direction. The flux value is determined by considering the same interconnect structure directly above the current one, with the metal line carrying the same overall current density but free of any void. All the other boundary surfaces are assumed to be adiabatic. Note that this preserves mirror symmetry about the four side planes of the structure. The constant temperature and uniform inward heat flux at, respectively,

3 in oid-containing Aluminum Interconnects 369 a b Fig. 2. Contours of constant temperature in the TiN/Al/TiN structure under the overall current densities of (a) 1 MA/cm 2 and (b) 5 MA/cm 2. The void geometry is taken to be l v = 0.4 w and w v = w. The SiO 2 elements are not shown in the plots. the bottom and top surfaces pertain to the overall heat conduction pattern obtained from our earlier analysis featuring general multilevel interconnect structures free of any defects. 10 The finite element program ABAQUS 11 was employed for all calculations. The discretization uses eight-noded brick elements. Depending on the size of the void in the model, a total of elements were used in the computational domain. The temperature distribution is directly obtained from the analysis. The effective resistivity of the void-containing metal stack is determined by ρ = (1/J eff )( φ/l), where J eff is the effective current density in the metal stack away from the void and φ is the electrical potential difference over the line length, a quantity obtained directly from the analysis. The fractional change of this effective resistivity is taken to be the fractional resistance change ( R/R 0 ) in the voided structure. RESULTS AND DISCUSSION In this section the Joule heating effects are presented first. Figure 2a and b shows the contours of constant temperature in the TiN/Al/TiN stack containing a through-width void under overall current densities of 1 and 5 MA/cm 2, respectively. The void geometry is described by l v = 0.4 w and w v = w. In the figure the SiO 2 elements were removed for clarity. It can be seen that the maximum temperature appears in the upper layer TiN directly above the void. A closer look at the temperature field, however, reveals that the maximum temperature is only moderately higher than those away from the void site. In Fig. 2a when the current density is 1 MA/cm 2, the temperature for the entire structure is within 10 C above the controlled temperature (20 C) at the bottom of SiO 2. If the very high current density of 5 MA/cm 2 is imposed (Fig. 2b), high temperatures (around 200 C) appear throughout the metal structure and the local maximum temperature is not particularly high compared to the rest of the structure. The calculated temperature field is no doubt affected by the specific geometry and boundary conditions chosen. Nevertheless, the present analysis suggests that the temperature distribution is quite uniform throughout the structure even if a large void exists. Figure 3a c shows the maximum temperature rise ( T) as a function of normalized void width (w v /w) for the void lengths l v of 0.1w, 0.4w, and 0.8w, respectively. (The contour plots in Fig. 2 correspond to the case of l v = 0.4w and w v /w = 1.) Several current density values are considered in Fig. 3 as indicated therein. In general, the maximum temperature rise increases with the width (for a fixed length) and length (for a fixed width) of the void. When the current density is below about 1 MA/cm 2, the temperature rise is insignificant unless the void is very large. With a current density as high as 5 MA/cm 2, the maximum temperature rise is approximately 20 C for the intact metal line and can become well over 100 C for the voidedthrough line. Considering the fact (from Fig. 2b) that the high temperature field is rather uniform, i.e., away from the void the temperature increase drastically exceeds 20 C (the typical value in the void-free circumstance), it can be concluded that the aluminum

4 370 Shen density specified, because essentially a constant fractional resistance change was obtained from the modeling when the imposed current density is less than about 5 MA/cm 2. Therefore the results in Fig. 4 are considered valid for the entire range of current densities treated in the above Joule heating analyses. Note that the resistance changes shown in Fig. 4 appear to be very large compared to most experimentally measured values, due to the fact that the void dimension relative to the total metal size is taken to be very large in our computational model. Nevertheless, the overall trend of the modeling results is consistent with recent experimental measurements on correlating the resistance change and void volume in Al interconnects. 6 It is seen in Fig. 4 that the fractional resistance change increases with the void width (for a fixed length) and length (for a fixed void width). One important observation is that the fractional resistance change does not scale with the void volume. For instance, the case of l v = 0.4w and w v = 0.8w and the case of l v = 0.8w and w v = 0.4w have the same void volume, but the former shows more than three times more resistance ina b c Fig. 3. Maximum temperature rise as a function of normalized void width (w v /w) for the void lengths of (a) l v = 0.1w, (b) l v = 0.4w, and (c) l v = 0.8w, under various applied current density values. Fig. 4. Fractional resistance change as a function of normalized void width (w v /w) for the void lengths of l v = 0.1w, 0.4w, and 0.8w. line itself serves as an efficient path to partially conduct the local Joule heat away from the void site. oiding induces local concentration of current density and energy dissipation, but it is essentially the entire structure that suffers heating. This effect, however, is significant only when the applied current density is very high and when the void is very large. Although in our modeling the ambient was taken to be 20 C, it is expected that the qualitative result shown here will also be valid under testing conditions involving high ambient temperatures. This is simply because aluminum is still capable of conducting most of the Joule heat away from the void site, so very high temperatures, if existent, will not only be specific to the void vicinity but well into the interior of the lines. This argument, however, is limited to the presumption that local melting and other relevant damage mechanisms are inoperative. Attention is now turned to the change in resistance in the voided interconnect line. Figure 4 shows the fractional resistance change ( R/R 0 ) as a function of normalized void width (w v /w) for various void lengths (l v ) of 0.1, 0.4, and 0.8 w. There is no imposed current Fig. 5. Fractional resistance change as a function of normalized void width (w v /w) for the void lengths of l v = 0.1w, 0.4w, and 0.8w. In this case the two TiN layers were not included in the model.

5 in oid-containing Aluminum Interconnects 371 crease than the latter. Our numerical results are consistent with the experimental analysis in Ref. 6 but disagree with that in Refs. 3 and 4, where a proportionality of resistance change with void volume was concluded. The possible errors in Refs. 3 and 4 were discussed in Ref. 6. One of the present objectives is to examine the effects of local Joule heating on resistance change. This can be achieved by comparing Figs. 3 and 4. If one focuses on a low current density value (for instance, less than 1 MA/cm 2 ), then Fig. 3a c shows that the temperature rises are very small (negligible in most cases). However, very large values of fractional resistance change are still seen in Fig. 4. This leads to the conclusion that local Joule heating contributes very little to the overall resistance increase. Although for very large current densities the temperature increase can be high, the primary cause of resistance increase is due to the void formation, not the Joule heat. When a high ambient temperature is imposed as in industrial test conditions, the above conclusion is still expected to be valid if local melting of Al is not of concern. One important question remains: is the barrier layer itself responsible for the resistance change after voiding, due to its inherently larger resistivity compared to Al? To explore this we carried out simulations assuming no TiN layers in the interconnect structure. The results are shown in Fig. 5, where the fractional resistance change as a function of void width is plotted for the same void lengths used in Fig. 4. It is seen that, with the TiN layers excluded, the overall resistance changes are only affected slightly. The general features stay unchanged. Therefore, it is realized that the resistance change is dominated by the void itself, not by the partial diversion of current into the TiN layers. The current is forced to flow around the void with a reduced cross section for conduction. A greater void width is much more detrimental than a greater void length. The void geometry plays a decisive role in the increase of resistance over the line segment. CONCLUSIONS We have constructed a three-dimensional interconnect model and performed finite element analyses to study local Joule heating and overall resistance change in an aluminum line containing voiding damage. Particular attention is devoted to the effects of current shunting into the barrier layers and void geometry. The important findings are listed in the following: 1. With the existence of a large void, the maximum temperature appears in the TiN layer at the void site. The temperature gradient, however, is not large throughout the metal structure for all void sizes. 2. The Al line is efficient in conducting the local Joule heat away from the void site, so it is the entire metal line that experiences additional heating. The temperature rise is insignificant if the imposed current density is smaller than about 1 MA/cm Although the fractional resistance change increases with void width and void length, it is not proportional to the void volume. The shape of the void plays an important role. 4. Both the current shunting-induced Joule heating and the high-resistivity nature of TiN contribute very little to the overall resistance increase. The dominant factor is the geometry of the void in Al. ACKNOWLEDGEMENT This work was supported by the National Science Foundation under Grant CMS The author thanks W. Li for his assistance. REFERENCES 1. G.K. Rao, Multilevel Interconnect Technology (New York: McGraw-Hill, 1993). 2. P.R. Besser, D. Brown, C.R. Reilly, and J.E. Sanchez, Abstract in Materials Research Society 1999 Spring Meeting: Materials Reliability in Microelectronics IX. 3. M. Genut, Z. Li, C.L. Bauer, S. Mahajan, P.F. Tang, and A.G. Milnes, Appl. Phys. Lett. 58, 2354 (1991). 4. Z. Li, C.L. Bauer, S. Mahajan, and A.G. Milnes, J. Appl. Phys. 72, 1821 (1992). 5. B. Miner, T.S. Sriram, A. Pelillo, and S.A. Bill, MRS Symp. Proc. 473, 351 (1997). 6. J.C. Doan, J.C. Bravman, P.A. Flinn, and T.N. Marieb, 37th Annual IEEE Int. Reliability Physics Symp. Proc. (Piscataway, NJ: IEEE, 1999), p F.P. Incropera and D.P. Dewitt, Introduction to Heat Transfer, 3rd ed. (New York: Wiley, 1996). 8. D. Halliday, R. Resnick, and J. Walker, Fundamentals of Physics, 5 th ed. (New York: Wiley, 1997). 9. S. Wolf, Silicon Processing for the LSI Era, ol. 2: Process Integration (Sunset Beach, CA: Lattice Press, 1990). 10. Y.-L. Shen, J. ac. Sci. Technol. B 17, 2115 (1999). 11. ABAQUS, ersion 5.8 (Pawtucket, RI: Hibbit, Karlson and Sorensen, Inc., 1998).

Through Silicon Via-Based Grid for Thermal Control in 3D Chips

Through Silicon Via-Based Grid for Thermal Control in 3D Chips Through Silicon Via-Based Grid for Thermal Control in 3D Chips José L. Ayala 1, Arvind Sridhar 2, Vinod Pangracious 2, David Atienza 2, and Yusuf Leblebici 3 1 Dept. of Computer Architecture and Systems

More information

Stress in Flip-Chip Solder Bumps due to Package Warpage -- Matt Pharr

Stress in Flip-Chip Solder Bumps due to Package Warpage -- Matt Pharr Stress in Flip-Chip Bumps due to Package Warpage -- Matt Pharr Introduction As the size of microelectronic devices continues to decrease, interconnects in the devices are scaling down correspondingly.

More information

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Table S1 Comparison of cooling performance of various thermoelectric (TE) materials and device architectures

More information

Thermal Resistance (measurements & simulations) In Electronic Devices

Thermal Resistance (measurements & simulations) In Electronic Devices Thermal Resistance (measurements & simulations) In Electronic Devices A short online course PART 3 Eric Pop Electrical Engineering, Stanford University 1 Topics 1) Basics of Joule Heating 2) Heating in

More information

arxiv:cond-mat/ v1 31 Oct 2001

arxiv:cond-mat/ v1 31 Oct 2001 Monte Carlo simulation of electromigration phenomena in metallic lines C. Pennetta, L. Reggiani and E. Alfinito arxiv:cond-mat/0110647v1 31 Oct 2001 INFM - National Nanotechnology Laboratory, Dipartimento

More information

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs)

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Manuscript for Review Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Journal: Electronics Letters Manuscript ID: draft Manuscript Type: Letter

More information

BACKEND IMPLICATIONS FOR THERMAL EFFECTS IN 3D INTEGRATED SOI STRUCTURES

BACKEND IMPLICATIONS FOR THERMAL EFFECTS IN 3D INTEGRATED SOI STRUCTURES BACKEND IMPLICATIONS FOR THERMAL EFFECTS IN 3D INTEGRATED SOI STRUCTURES D. Celo, R. Joshi 1, and T. Smy Dept. of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6, ph: 613-520-3967, fax: 613-520-5708:

More information

Budapest, Hungary, September 2007 The Characteristics of Electromigration And Thermomigration in Flip Chip Solder Joints

Budapest, Hungary, September 2007 The Characteristics of Electromigration And Thermomigration in Flip Chip Solder Joints The Characteristics of Electromigration And Thermomigration in Flip Chip Solder Joints Dan Yang and Y. C. Chan* Department of Electronic Engineering, City University of Hong Kong, 83 Tat Chee Avenue, Kowloon,

More information

Heat Sink Design and Temperature Distribution Analysis for Millimeter Wave IMPATT Oscillators using Finite Difference Method

Heat Sink Design and Temperature Distribution Analysis for Millimeter Wave IMPATT Oscillators using Finite Difference Method Available online at www.scholarsresearchlibrary.com Archives of Applied Science Research, 2011, 3 (2):107-120 (http://scholarsresearchlibrary.com/archive.html) ISSN 0975-508X CODEN (USA) AASRC9 Heat Sink

More information

Study of Electromigration of flip-chip solder joints using Kelvin probes

Study of Electromigration of flip-chip solder joints using Kelvin probes Study of Electromigration of flip-chip solder joints using Kelvin probes Y. W. Chang and Chih Chen National Chiao Tung University, Department of Material Science & Engineering, Hsin-chu 30010, Taiwan,

More information

Improvement of Electromigration Lifetime in Al-Si-Cu/Ti/TiN/Ti Layered Interconnects

Improvement of Electromigration Lifetime in Al-Si-Cu/Ti/TiN/Ti Layered Interconnects Improvement of Electromigration Lifetime in Al-Si-Cu/Ti/TiN/Ti Layered Interconnects Tomoyuki Yoshida, Shoji Hashimoto, Hideki Hosokawa, Takeshi Ohwaki, Yasuichi Mitsushima, Yasunori Taga ( borophosphosilicate

More information

CORRELATION BETWEEN HOT PLATE EMISSIVITY AND WAFER TEMPERATURE AT LOW TEMPERATURES

CORRELATION BETWEEN HOT PLATE EMISSIVITY AND WAFER TEMPERATURE AT LOW TEMPERATURES CORRELATION BETWEEN HOT PLATE EMISSIVITY AND WAFER TEMPERATURE AT LOW TEMPERATURES Tomomi Murakami 1*, Takashi Fukada 1 and Woo Sik Yoo 2 1 WaferMasters Service Factory, 2020-3 Oaza Tabaru, Mashiki, Kamimashiki,

More information

FLOW VISUALIZATION OF THE BUOYANCY-INDUCED CONVECTIVE HEAT TRANSFER IN ELECTRONICS COOLING. Carmine Sapia

FLOW VISUALIZATION OF THE BUOYANCY-INDUCED CONVECTIVE HEAT TRANSFER IN ELECTRONICS COOLING. Carmine Sapia Nice, Côte d Azur, France, 27-29 September 2006 FLOW VISUALIZATION OF THE BUOYANCY-INDUCED CONVECTIVE HEAT TRANSFER IN ELECTRONICS COOLING Carmine Sapia Department of Applied Electronics - University Roma

More information

Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter

Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter S. Sadat 1, E. Meyhofer 1 and P. Reddy 1, 1 Department of Mechanical Engineering, University of Michigan, Ann Arbor, 48109 Department

More information

Analysis of thermal stress and plastic strain in studs/vias of multilevel integrated circuits

Analysis of thermal stress and plastic strain in studs/vias of multilevel integrated circuits The University of Toledo The University of Toledo Digital Repository Master s and Doctoral Projects Analysis of thermal stress and plastic strain in studs/vias of multilevel integrated circuits Oluyinka

More information

Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC

Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC ttarter@pkgscience.com INTRODUCTION Irrespective of if a device gets smaller, larger, hotter or cooler, some method

More information

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION Sashka Petrova Alexandrova 1, Evgenia Petrova Valcheva 2, Rumen Georgiev Kobilarov 1 1 Department of Applied Physics, Technical

More information

PUBLICATION. Thermal Design of an Nb3Sn High Field Accelerator Magnet

PUBLICATION. Thermal Design of an Nb3Sn High Field Accelerator Magnet EuCARD-CON-2011-057 European Coordination for Accelerator Research and Development PUBLICATION Thermal Design of an Nb3Sn High Field Accelerator Magnet Pietrowicz, S (CEA-irfu, on leave from Wroclaw University

More information

PROBLEM Node 5: ( ) ( ) ( ) ( )

PROBLEM Node 5: ( ) ( ) ( ) ( ) PROBLEM 4.78 KNOWN: Nodal network and boundary conditions for a water-cooled cold plate. FIND: (a) Steady-state temperature distribution for prescribed conditions, (b) Means by which operation may be extended

More information

Electromigration time to failure of SnAgCuNi solder joints

Electromigration time to failure of SnAgCuNi solder joints JOURNAL OF APPLIED PHYSICS 106, 013707 2009 Electromigration time to failure of SnAgCuNi solder joints Cemal Basaran, 1,a Shidong Li, 1 Douglas C. Hopkins, 1 and Damien Veychard 2 1 Electronic Packaging

More information

THREE-DIMENSIONAL SIMULATION OF THERMAL OXIDATION AND THE INFLUENCE OF STRESS

THREE-DIMENSIONAL SIMULATION OF THERMAL OXIDATION AND THE INFLUENCE OF STRESS THREE-DIMENSIONAL SIMULATION OF THERMAL OXIDATION AND THE INFLUENCE OF STRESS Christian Hollauer, Hajdin Ceric, and Siegfried Selberherr Institute for Microelectronics, Technical University Vienna Gußhausstraße

More information

Reliability of TSV interconnects in 3D-IC

Reliability of TSV interconnects in 3D-IC Reliability of interconnects in 3D-IC Electromigration voiding analyzed through 3D-FIB-SEM T. Frank, C. Chappaz, F. Lorut - STMicroelectronics, Crolles, France P. Leduc, L. Arnaud, S. Moreau, A. Thuaire

More information

Lab 5: Post Processing and Solving Conduction Problems. Objective:

Lab 5: Post Processing and Solving Conduction Problems. Objective: Lab 5: Post Processing and Solving Conduction Problems Objective: The objective of this lab is to use the tools we have developed in MATLAB and SolidWorks to solve conduction heat transfer problems that

More information

30 W Power Resistor Thick Film Technology

30 W Power Resistor Thick Film Technology 30 W Power Resistor Thick Film Technology LTO series are the extension of RTO types. We used the direct ceramic mounting design (no metal tab) of our RCH power resistors applied to semiconductor packages.

More information

PHYSICS FORM 5 ELECTRICAL QUANTITES

PHYSICS FORM 5 ELECTRICAL QUANTITES QUANTITY SYMBOL UNIT SYMBOL Current I Amperes A Voltage (P.D.) V Volts V Resistance R Ohm Ω Charge (electric) Q Coulomb C Power P Watt W Energy E Joule J Time T seconds s Quantity of a Charge, Q Q = It

More information

Thermo-Mechanical Analysis of a Multi-Layer MEMS Membrane

Thermo-Mechanical Analysis of a Multi-Layer MEMS Membrane Thermo-Mechanical Analysis of a Multi-Layer MEMS Membrane Heiko Fettig, PhD James Wylde, PhD Nortel Networks - Optical Components Ottawa ON K2H 8E9 Canada Abstract This paper examines the modelling of

More information

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai E. Pop, 1,2 D. Mann, 1 J. Rowlette, 2 K. Goodson 2 and H. Dai 1 Dept. of 1 Chemistry

More information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

1 INTRODUCTION 2 SAMPLE PREPARATIONS Chikage NORITAKE This study seeks to analyze the reliability of three-dimensional (3D) chip stacked packages under cyclic thermal loading. The critical areas of 3D chip stacked packages are defined using

More information

AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING

AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING THERMAL SCIENCE, Year 2017, Vol. 21, No. 4, pp. 1601-1606 1601 AN ANALYTICAL THERMAL MODEL FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS WITH INTEGRATED MICRO-CHANNEL COOLING by Kang-Jia WANG a,b, Hong-Chang

More information

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX Understanding process-dependent oxygen vacancies in thin HfO 2 /SiO 2 stacked-films on Si (100) via competing electron-hole injection dynamic contributions to second harmonic generation. J. Price, 1,2

More information

A RIDGE WAVEGUIDE FOR THERMO-OPTIC APPLICATION

A RIDGE WAVEGUIDE FOR THERMO-OPTIC APPLICATION Progress In Electromagnetics Research Letters, Vol. 6, 1 9, 2009 A RIDGE WAVEGUIDE FOR THERMO-OPTIC APPLICATION A. M. Al-Hetar, A. S. M. Supa at, and A. B. Mohammad Photonics Technology Center (PTC) Faculty

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

A TIME DEPENDENT DIELECTRIC BREAKDOWN (TDDB) MODEL FOR FIELD ACCELERATED LOW-K BREAKDOWN DUE TO COPPER IONS

A TIME DEPENDENT DIELECTRIC BREAKDOWN (TDDB) MODEL FOR FIELD ACCELERATED LOW-K BREAKDOWN DUE TO COPPER IONS Presented at the COMSOL Conference 2008 Boston A TIME DEPENDENT DIELECTRIC BREAKDOWN (TDDB) MODEL FOR FIELD ACCELERATED LOW-K BREAKDOWN DUE TO COPPER IONS Ravi S. Achanta, Joel L. Plawsky and William N.

More information

Chapter 5: Ball Grid Array (BGA)

Chapter 5: Ball Grid Array (BGA) Chapter 5: Ball Grid Array (BGA) 5.1 Development of the Models The following sequence of pictures explains schematically how the FE-model of the Ball Grid Array (BGA) was developed. Initially a single

More information

Chapter 1 The Electric Force

Chapter 1 The Electric Force Chapter 1 The Electric Force 1. Properties of the Electric Charges 1- There are two kinds of the electric charges in the nature, which are positive and negative charges. - The charges of opposite sign

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

Analysis of Electro-thermal Stress and Strain in a Functionally Graded Metal Line under Direct Current Field

Analysis of Electro-thermal Stress and Strain in a Functionally Graded Metal Line under Direct Current Field IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 78-68,p-ISSN: -X, Volume, Issue Ver. II (Sep. - Oct. ), PP 7-8 www.iosrjournals.org Analysis of Electro-thermal Stress and Strain in

More information

Nanocarbon Interconnects - From 1D to 3D

Nanocarbon Interconnects - From 1D to 3D Nanocarbon Interconnects - From 1D to 3D Cary Y. Yang Santa Clara University Outline Introduction CNT as 1D interconnect structure CNT-graphene as all-carbon 3D interconnect Summary Device Scaling driven

More information

Gate Carrier Injection and NC-Non- Volatile Memories

Gate Carrier Injection and NC-Non- Volatile Memories Gate Carrier Injection and NC-Non- Volatile Memories Jean-Pierre Leburton Department of Electrical and Computer Engineering and Beckman Institute University of Illinois at Urbana-Champaign Urbana, IL 61801,

More information

On Clean Cooling Systems for Wind Turbine Nacelle operating in Hot Climate

On Clean Cooling Systems for Wind Turbine Nacelle operating in Hot Climate International Conférence en Clean Cooling Technologiesin the ME NA Regions ICT3_MENA 201 Bou Smail, W. Tipaza, 5-6 October 2015 On Clean Cooling Systems for Wind Turbine Nacelle operating in Hot Climate

More information

Australian Journal of Basic and Applied Sciences. Numerical Investigation of Flow Boiling in Double-Layer Microchannel Heat Sink

Australian Journal of Basic and Applied Sciences. Numerical Investigation of Flow Boiling in Double-Layer Microchannel Heat Sink AENSI Journals Australian Journal of Basic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Numerical Investigation of Flow Boiling in Double-Layer Microchannel Heat Sink Shugata

More information

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS Y. Sun School of Electrical & Electronic Engineering Nayang Technological University Nanyang Avenue, Singapore 639798 e-mail: 14794258@ntu.edu.sg Keywords:

More information

Generalized continuum theory for ferroelectric thin films

Generalized continuum theory for ferroelectric thin films Generalized continuum theory for ferroelectric thin films Tianquan Lü* and Wenwu Cao Department of Physics and Materials Science, City University of Hong Kong, 83 Tat Chee Avenue, Kowloon, Hong Kong, China

More information

Effect of Growth Direction on Twin Formation in GaAs Crystals Grown by the Vertical Gradient Freeze Method

Effect of Growth Direction on Twin Formation in GaAs Crystals Grown by the Vertical Gradient Freeze Method Effect of Growth Direction on Twin Formation in GaAs Crystals Grown by the Vertical Gradient Freeze Method A.N. Gulluoglu 1,C.T.Tsai 2 Abstract: Twins in growing crystals are due to excessive thermal stresses

More information

High Order Differential Form-Based Elements for the Computation of Electromagnetic Field

High Order Differential Form-Based Elements for the Computation of Electromagnetic Field 1472 IEEE TRANSACTIONS ON MAGNETICS, VOL 36, NO 4, JULY 2000 High Order Differential Form-Based Elements for the Computation of Electromagnetic Field Z Ren, Senior Member, IEEE, and N Ida, Senior Member,

More information

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs NANO: Brief Reports and Reviews Vol. 2, No. 4 (27) 233 237 c World Scientific Publishing Company ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs MICHAEL L. P. TAN, ISMAIL

More information

Gold wire bonding on Low-k Material A new challenge for interconnection technology

Gold wire bonding on Low-k Material A new challenge for interconnection technology Gold wire bonding on Low-k Material A new challenge for interconnection technology Ralph Binner Andreas Schopper ESEC (Asia Pacific) Pte Ltd Jimmy Castaneda SPT Asia Pte. Ltd 1. Introduction The gold wire

More information

3/17/2009 PHYS202 SPRING Lecture notes Electric Circuits

3/17/2009 PHYS202 SPRING Lecture notes Electric Circuits PHYS202 SPRING 2009 Lecture notes Electric Circuits 1 Batteries A battery is a device that provides a potential difference to two terminals. Different metals in an electrolyte will create a potential difference,

More information

CMOS Transistors, Gates, and Wires

CMOS Transistors, Gates, and Wires CMOS Transistors, Gates, and Wires Should the hardware abstraction layers make today s lecture irrelevant? pplication R P C W / R W C W / 6.375 Complex Digital Systems Christopher atten February 5, 006

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits

Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits Emerging Interconnect Technologies for CMOS and beyond-cmos Circuits Sou-Chi Chang, Rouhollah M. Iraei Vachan Kumar, Ahmet Ceyhan and Azad Naeemi School of Electrical & Computer Engineering Georgia Institute

More information

Electrothermal Simulation of Large-Area Semiconductor Devices

Electrothermal Simulation of Large-Area Semiconductor Devices Int. Jnl. of Multiphysics Volume 11 Number 2 2017 127 Electrothermal Simulation of Large-Area Semiconductor Devices C Kirsch 3 *, S Altazin 2, R Hiestand 2, T Beierlein 3, R Ferrini 1, T Offermans 1, L

More information

The Influence of Channel Aspect Ratio on Performance of Optimized Thermal-Fluid Structures

The Influence of Channel Aspect Ratio on Performance of Optimized Thermal-Fluid Structures Excerpt from the Proceedings of the COMSOL Conference 2010 Boston The Influence of Channel Aspect Ratio on Performance of Optimized Thermal-Fluid Structures Ercan M. Dede 1* 1 Technical Research Department,

More information

Content courtesy of Wikipedia.org. David Harrison, CEO/Design Engineer for Model Sounds Inc.

Content courtesy of Wikipedia.org. David Harrison, CEO/Design Engineer for Model Sounds Inc. Content courtesy of Wikipedia.org David Harrison, CEO/Design Engineer for Model Sounds Inc. Common Capacitor Specs. Capacitance Tolerance Maximum Operating Voltage Less Common Capacitor Specs. Equivalent

More information

This section develops numerically and analytically the geometric optimisation of

This section develops numerically and analytically the geometric optimisation of 7 CHAPTER 7: MATHEMATICAL OPTIMISATION OF LAMINAR-FORCED CONVECTION HEAT TRANSFER THROUGH A VASCULARISED SOLID WITH COOLING CHANNELS 5 7.1. INTRODUCTION This section develops numerically and analytically

More information

Essay 4. Numerical Solutions of the Equations of Heat Transfer and Fluid Flow

Essay 4. Numerical Solutions of the Equations of Heat Transfer and Fluid Flow Essay 4 Numerical Solutions of the Equations of Heat Transfer and Fluid Flow 4.1 Introduction In Essay 3, it was shown that heat conduction is governed by a partial differential equation. It will also

More information

THERMAL ANALYSIS OF A MEMS BASED BROADBAND LIGHT SOURCE: TEST DATA AND MODEL

THERMAL ANALYSIS OF A MEMS BASED BROADBAND LIGHT SOURCE: TEST DATA AND MODEL THERMAL ANALYSIS OF A MEMS BASED BROADBAND LIGHT SOURCE: TEST DATA AND MODEL Eric L. Golliher 1, Margaret Tuma 1, Joseph Collura 2, Eric Jones 3, James Yuo 1 1 NASA Glenn Research Center 21000 Broopar

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

One dimensional steady state diffusion, with and without source. Effective transfer coefficients

One dimensional steady state diffusion, with and without source. Effective transfer coefficients One dimensional steady state diffusion, with and without source. Effective transfer coefficients 2 mars 207 For steady state situations t = 0) and if convection is not present or negligible the transport

More information

DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE METAL-OXIDE-SEMICONDUCTOR (MOS) STRUCTURES

DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE METAL-OXIDE-SEMICONDUCTOR (MOS) STRUCTURES DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE ETAL-OXIDE-SEICONDUCTOR (OS) STRUCTURES KRZYSZTOF PISKORSKI (kpisk@ite.waw.pl), HENRYK. PRZEWLOCKI Institute

More information

CHAPTER 8: Thermal Analysis

CHAPTER 8: Thermal Analysis CHAPER 8: hermal Analysis hermal Analysis: calculation of temperatures in a solid body. Magnitude and direction of heat flow can also be calculated from temperature gradients in the body. Modes of heat

More information

Effect of fibre shape on transverse thermal conductivity of unidirectional composites

Effect of fibre shape on transverse thermal conductivity of unidirectional composites Sādhanā Vol. 4, Part 2, April 25, pp. 53 53. c Indian Academy of Sciences Effect of fibre shape on transverse thermal conductivity of unidirectional composites B RAGHAVA RAO,, V RAMACHANDRA RAJU 2 and

More information

New Material Design and Device Simulation Tool. Dr. Gong Kui HZWTECH

New Material Design and Device Simulation Tool. Dr. Gong Kui HZWTECH New Material Design and Device Simulation Tool Dr. Gong Kui HZWTECH 鸿之微科技 ( 上海 ) 股份有限公司 HONGZHIWEI TECHNOLOGY(SHANGHAI) CO.,LTD outline Atomistic-TCAD:new devices simulation tool Applications of Atomistic-TCAD

More information

FINITE-VOLUME SOLUTION OF DIFFUSION EQUATION AND APPLICATION TO MODEL PROBLEMS

FINITE-VOLUME SOLUTION OF DIFFUSION EQUATION AND APPLICATION TO MODEL PROBLEMS IJRET: International Journal of Research in Engineering and Technology eissn: 39-63 pissn: 3-738 FINITE-VOLUME SOLUTION OF DIFFUSION EQUATION AND APPLICATION TO MODEL PROBLEMS Asish Mitra Reviewer: Heat

More information

EVALUATION OF THE THERMAL AND HYDRAULIC PERFORMANCES OF A VERY THIN SINTERED COPPER FLAT HEAT PIPE FOR 3D MICROSYSTEM PACKAGES

EVALUATION OF THE THERMAL AND HYDRAULIC PERFORMANCES OF A VERY THIN SINTERED COPPER FLAT HEAT PIPE FOR 3D MICROSYSTEM PACKAGES Stresa, Italy, 25-27 April 2007 EVALUATION OF THE THERMAL AND HYDRAULIC PERFORMANCES OF A VERY THIN SINTERED COPPER FLAT HEAT PIPE FOR 3D MICROSYSTEM PACKAGES Slavka Tzanova 1, Lora Kamenova 2, Yvan Avenas

More information

of the heat is dissipated as a result of the flow of electrical current in various conductors. In order to predict temperatures

of the heat is dissipated as a result of the flow of electrical current in various conductors. In order to predict temperatures Transient Coupled Thermal / Electrical Analysis of a Printed Wiring Board Ben Zandi TES International: (248 362-29 bzandi@tesint.com Jeffrey Lewis TES International Hamish Lewis TES International Abstract

More information

STUDY ON CORROSION P HENOMENA OF STEELS IN PB-BI FLOW

STUDY ON CORROSION P HENOMENA OF STEELS IN PB-BI FLOW 11 th International Conference on Nuclear Engineering Tokyo, JAPAN, April -3, 3 ICONE11-36375 STUDY ON CORROSION P HENOMENA OF STEELS IN PB-BI FLOW Yingxia Qi Research Laboratory for Nuclear Reactors Tokyo

More information

COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS

COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS International Journal of Science, Engineering and Technology Research (IJSETR), Volume 4, Issue 8, August 15 COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS Priya Srivastav, Asst. Prof.

More information

ENERGY PERFORMANCE IMPROVEMENT, FLOW BEHAVIOR AND HEAT TRANSFER INVESTIGATION IN A CIRCULAR TUBE WITH V-DOWNSTREAM DISCRETE BAFFLES

ENERGY PERFORMANCE IMPROVEMENT, FLOW BEHAVIOR AND HEAT TRANSFER INVESTIGATION IN A CIRCULAR TUBE WITH V-DOWNSTREAM DISCRETE BAFFLES Journal of Mathematics and Statistics 9 (4): 339-348, 2013 ISSN: 1549-3644 2013 doi:10.3844/jmssp.2013.339.348 Published Online 9 (4) 2013 (http://www.thescipub.com/jmss.toc) ENERGY PERFORMANCE IMPROVEMENT,

More information

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger Infineon Technologies Corporate Research Munich, Germany Outline

More information

DEVICE CHARACTERIZATION OF (AgCu)(InGa)Se 2 SOLAR CELLS

DEVICE CHARACTERIZATION OF (AgCu)(InGa)Se 2 SOLAR CELLS DEVICE CHARACTERIZATION OF (AgCu)(InGa)Se 2 SOLAR CELLS William Shafarman 1, Christopher Thompson 1, Jonathan Boyle 1, Gregory Hanket 1, Peter Erslev 2, J. David Cohen 2 1 Institute of Energy Conversion,

More information

This chapter focuses on the study of the numerical approximation of threedimensional

This chapter focuses on the study of the numerical approximation of threedimensional 6 CHAPTER 6: NUMERICAL OPTIMISATION OF CONJUGATE HEAT TRANSFER IN COOLING CHANNELS WITH DIFFERENT CROSS-SECTIONAL SHAPES 3, 4 6.1. INTRODUCTION This chapter focuses on the study of the numerical approximation

More information

Divergent Fields, Charge, and Capacitance in FDTD Simulations

Divergent Fields, Charge, and Capacitance in FDTD Simulations Divergent Fields, Charge, and Capacitance in FDTD Simulations Christopher L. Wagner and John B. Schneider August 2, 1998 Abstract Finite-difference time-domain (FDTD) grids are often described as being

More information

Investigation of AC Loss in HTS Cross-Conductor Cables for Electrical Power Transmission

Investigation of AC Loss in HTS Cross-Conductor Cables for Electrical Power Transmission 1 Investigation of AC Loss in HTS Cross-Conductor Cables for Electrical Power Transmission Boyang Shen, T. A. Coombs, and Francesco Grilli Abstract This paper presents the alternating current (AC) loss

More information

1 Random and systematic errors

1 Random and systematic errors 1 ESTIMATION OF RELIABILITY OF RESULTS Such a thing as an exact measurement has never been made. Every value read from the scale of an instrument has a possible error; the best that can be done is to say

More information

Numerical Heat and Mass Transfer

Numerical Heat and Mass Transfer Master Degree in Mechanical Engineering Numerical Heat and Mass Transfer 03 Finned Surfaces Fausto Arpino f.arpino@unicas.it Outline Introduction Straight fin with constant circular cross section Long

More information

Elastic and piezoelectric fields in substrates GaAs 001 and GaAs 111 due to a buried quantum dot

Elastic and piezoelectric fields in substrates GaAs 001 and GaAs 111 due to a buried quantum dot JOURNAL OF APPLIED PHYSICS VOLUME 91, NUMBER 10 15 MAY 2002 Elastic and piezoelectric fields in substrates GaAs 001 and GaAs 111 due to a buried quantum dot E. Pan a) Structures Technology Incorporated,

More information

Conduction. Metals, Semiconductors and Interconnects. Fig 2.1

Conduction. Metals, Semiconductors and Interconnects. Fig 2.1 Conduction Metals, Semiconductors and Interconnects Fig 2.1 Metal interconnects are used in microelectronics to wire the devices within the chip, the intergraded circuit. Multilevel interconnects are used

More information

JOINTS FOR SUPERCONDUCTING MAGNETS

JOINTS FOR SUPERCONDUCTING MAGNETS JOINTS FOR SUPERCONDUCTING MAGNETS Patrick DECOOL Association EURATOM-CEA, CEA/DSM/IRFM 0 Large machines for fusion deals with Cable In Conduit Conductors (CICC) ITER Each conductor is composed of 1000

More information

ELECTRICAL THEORY. Ideal Basic Circuit Element

ELECTRICAL THEORY. Ideal Basic Circuit Element ELECTRICAL THEORY PROF. SIRIPONG POTISUK ELEC 106 Ideal Basic Circuit Element Has only two terminals which are points of connection to other circuit components Can be described mathematically in terms

More information

Deformation of solder joint under current stressing and numerical simulation II

Deformation of solder joint under current stressing and numerical simulation II International Journal of Solids and Structures 41 (2004) 4959 4973 www.elsevier.com/locate/ijsolstr Deformation of solder joint under current stressing and numerical simulation II Hua Ye *, Cemal Basaran,

More information

Elementary Process of Electromigration at Metallic Nanojunctions in the Ballistic Regime

Elementary Process of Electromigration at Metallic Nanojunctions in the Ballistic Regime Elementary Process of Electromigration at Metallic Nanojunctions in the Ballistic Regime Kaz Hirakawa Institute of Industrial Science, University of Tokyo CREST, JST collaborators: Akinori Umeno, Kenji

More information

Comparative assessment of a temperature distribution into different CPU coolers

Comparative assessment of a temperature distribution into different CPU coolers Comparative assessment of a temperature distribution into different CPU coolers DUMITRU CAZACU Electrical Engineering Department University of Pitesti 1 Targul din vale, 110040, Pitesti, jud Arges ROMANIA

More information

Power Resistor Thick Film Technology

Power Resistor Thick Film Technology Power Resistor Thick Film Technology DESIGN SUPPORT TOOLS click logo to get started FEATURES 50 W at 25 C case temperature heatsink mounted Direct mounting ceramic on heatsink Broad resistance range: 0.010

More information

JUNCTION LEAKAGE OF A SiC-BASED NON-VOLATILE RANDOM ACCESS MEMORY (NVRAM) K. Y. Cheong ABSTRACT INTRODUCTION

JUNCTION LEAKAGE OF A SiC-BASED NON-VOLATILE RANDOM ACCESS MEMORY (NVRAM) K. Y. Cheong ABSTRACT INTRODUCTION JUNCTION LEAKAGE OF A SiC-BASED NON-VOLATILE RANDOM ACCESS MEMORY (NVRAM) K. Y. Cheong Electronic Materials Research Group, School of Materials and Mineral Resources Engineering, Engineering Campus, Universiti

More information

Numerical Modeling and Comparison of Flash Thermographic Response

Numerical Modeling and Comparison of Flash Thermographic Response Thermographie-Kolloquium 2017 More info about this article: http://www.ndt.net/?id=22481 Numerical Modeling and Comparison of Flash Thermographic Response Letchuman SRIPRAGASH 1, Matthias GOLDAMMER 2,

More information

n v molecules will pass per unit time through the area from left to

n v molecules will pass per unit time through the area from left to 3 iscosity and Heat Conduction in Gas Dynamics Equations of One-Dimensional Gas Flow The dissipative processes - viscosity (internal friction) and heat conduction - are connected with existence of molecular

More information

Resonant Tunnel Diode (RTD) Stability

Resonant Tunnel Diode (RTD) Stability sonant Tunnel Diode (RTD) Stability J. E. Morris and D. W. Matson Department of Electrical & Computer Engineering, Portland State University Portland, Oregon 97207-0751, U. S. A. j.e.morris@ieee.org Abstract:

More information

Photonic band gaps with layer-by-layer double-etched structures

Photonic band gaps with layer-by-layer double-etched structures Photonic band gaps with layer-by-layer double-etched structures R. Biswas a) Microelectronics Research Center, Ames Laboratory USDOE and Department of Physics and Astronomy, Iowa State University, Ames,

More information

Supporting Information. by Hexagonal Boron Nitride

Supporting Information. by Hexagonal Boron Nitride Supporting Information High Velocity Saturation in Graphene Encapsulated by Hexagonal Boron Nitride Megan A. Yamoah 1,2,, Wenmin Yang 1,3, Eric Pop 4,5,6, David Goldhaber-Gordon 1 * 1 Department of Physics,

More information

Assessment of Current Density Singularity in Electromigration of Solder Bumps

Assessment of Current Density Singularity in Electromigration of Solder Bumps Assessment of Current Density Singularity in Electromigration of Solder Bumps Pridhvi Dandu and Xuejun Fan Department of Mechanical Engineering Lamar University PO Box 10028, Beaumont, TX 77710, USA Tel:

More information

On the symmetry features of some electrical circuits

On the symmetry features of some electrical circuits INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS Int. J. Circ. Theor. Appl. 2006; 34:637 644 Published online 26 September 2006 in Wiley InterScience (www.interscience.wiley.com)..377 On the symmetry

More information

Direct measurement of giant electrocaloric effect in BaTiO 3 multilayer thick film structure beyond theoretical prediction

Direct measurement of giant electrocaloric effect in BaTiO 3 multilayer thick film structure beyond theoretical prediction Direct measurement of giant electrocaloric effect in BaTiO 3 multilayer thick film structure beyond theoretical prediction Yang Bai 1,2, Guangping Zheng 1 and Sanqiang Shi 1 1 Department of Mechanical

More information

Current and Resistance

Current and Resistance PHYS102 Previous Exam Problems CHAPTER 26 Current and Resistance Charge, current, and current density Ohm s law Resistance Power Resistance & temperature 1. A current of 0.300 A is passed through a lamp

More information

Calculation and Characteristic Research of Temperature Rise for Motor Temperature Field

Calculation and Characteristic Research of Temperature Rise for Motor Temperature Field International Forum on Energy, Environment and Sustainable Development (IFEESD 16) Calculation and Characteristic Research of Temperature Rise for Motor Temperature Field Erbao Lu 1, a, Xiaorong Zhu 1,b,

More information

Performance Analysis of Ultra-Scaled InAs HEMTs

Performance Analysis of Ultra-Scaled InAs HEMTs Purdue University Purdue e-pubs Birck and NCN Publications Birck Nanotechnology Center 2009 Performance Analysis of Ultra-Scaled InAs HEMTs Neerav Kharche Birck Nanotechnology Center and Purdue University,

More information

UNISONIC TECHNOLOGIES CO., LTD

UNISONIC TECHNOLOGIES CO., LTD UNISONIC TECHNOLOGIES CO., LTD LOW DROP FIXED AND ADJUSTABLE POSITIE OLTAGE REGULATORS DESCRIPTION The UTC is a low dropout, 3-terminal positive voltage regulator designed to provide output current up

More information

HEAT TRANSFER FROM FINNED SURFACES

HEAT TRANSFER FROM FINNED SURFACES Fundamentals of Thermal-Fluid Sciences, 3rd Edition Yunus A. Cengel, Robert H. Turner, John M. Cimbala McGraw-Hill, 2008 HEAT TRANSFER FROM FINNED SURFACES Mehmet Kanoglu Copyright The McGraw-Hill Companies,

More information

White Paper. Temperature Dependence of Electrical Overstress By Craig Hillman, PhD

White Paper. Temperature Dependence of Electrical Overstress By Craig Hillman, PhD White Paper Temperature Dependence of Electrical Overstress By Craig Hillman, PhD 1. What is Electrical Overstress (EOS)? Electrical overstress is typically defined as an over voltage or over current event

More information