Chapter 6: Memory Diagnosis

Size: px
Start display at page:

Download "Chapter 6: Memory Diagnosis"

Transcription

1 Chapter 6: Memory Diagnosis i Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli, Taiwan

2 Outline Introduction RAM Diagnosis Algorithms RAM BISD Diagnostic Data Compression Memory Bitmapping using BIST Diagnosis Methodology in Serial BIST Designs References 2

3 Introduction Why diagnosis is important Yield improvement Repair Design/process debugging Diagnosis Fault location Fault identification Process monitoring Bitmap Fault location 3

4 RAM Diagnosis Algorithms Basic RAM faults are distinguished Stuck-at fault (SAF) Coupling faults State coupling fault (CFst) Idempotent coupling fault (CFid) Inversion coupling fault (CFin) Goals of a diagnosis algorithm Distinguishing i different fault types Locating the aggressors of coupling faults Diagnosis algorithm Low time complexity 4

5 CF(A P,A S,V S ) Notation A P =H or L: position of the aggressor with respect to the victim A S : state/operation of the aggressor that activates the fault V S : faulty state/operation of the victim CF(X): intra-word coupling faults X=(x x 2 x B ): a B-bit word E.g., CFst(0) Italic represents the victim Others are possible aggressors 5

6 Diagnosis for Bit-Oriented Memories March test A finite sequence of March elements March C : { ( w 0); ( r 0, w ); ( r, w 0); ( r 0, w ); ( r, w 0); ( r 0)} Fault dictionary E0 E E2 E3 E4 SAF(0) CFst(L,0,0), CFid(L,,0) CFin(H,, )

7 Diagnosis for Bit-Oriented Memories March-7N diagnosis algorithm { ( w 0); ( r 0, w, r ); ( r ); ( r, w 0, r 0); ( r 0); ( r0, w, r); ( r); ( r, w0, r0); ( r0)} The faults can be detected by the second march element Bit 0 is addressed Bit is addressed r0: r: SAF() SAF(0) r: r0: SAF(0), SAF(), CFin, CFid,. 7

8 March-7N Fault Dictionary E0 E E2 E3 E4 E5 E6 E7 E8 E9 E0 E SAF(0) SAF() CFst(L,0,0) CFst(H,0,0) CFst(L,0,) CFst(H,0,) CFid(H,,) CFid(H,,0) CFin(L,, ) CFin(L,, ) CFin(H,, ) CFin(H,, )

9 Locating CF Aggressors The aggressor of a CF can be located by an adaptive algorithm: { ( wa); w V; ( wa, rv)} v v or { ( wa); w V; ( wa, rv)} For example, the second cell has a CFst(H,0,) v v

10 Diagnosis for Word-Oriented Memories Two-phase procedure:.phase-: Use a diagnosis algorithm on different data background words for SAFs and intra-word CFs 2.Phase-2: Use the diagnosis algorithms for bit-oriented memories on solid backgrounds for inter-word CFs The order of phase- and phase-2 can not be changed 0

11 ( Phase- Diagnosis Algorithm { w0); ( wd, rd, w0, r0); ( w); ( wd, rd, w, r)} D = {000,000,000,000} for 4-bit words Let the background be d i and the expected data output be Y F i (Y): set of faults detected t d in bit i of a word F i (Y): set of faults detected in other bits of a word

12 Fault Sets for the First Bit w0 wd, rd w0, r0 w w D, rd w, r d 0 d d 2 d The fault set for the fist bit F 0 (000)=SAF(0) U CFst(0000) F 0 0( (0)=SAF() ( ) U CFst(000) F 0 (0)=SAF() U CFst() F 0 ()=SAF(0) U CFst(0) 2

13 Distinguishing Faults The SAFs can be obtained by the following set operation F 0 (000) (0) SAF(0) SAF() F 0 () (0) CFst(X) can be obtained by deleting the SAFs from the fault sets SAFs and CFst(X) in other bits can be obtained by a similar approach 3

14 Fault Set for Other Bits SAFs and CFst(X) have been identified in the previous step Fault set (intra-word CFs) for the second, third, and fourth bits F 0 0( (000) = CFin( ) U CFid( ) ) F 0 (0) = CFin( ) U CFid( ) F 0 (0) = CFin( ) U CFid( 000) F 0 () = CFin( ) U CFid( 000) 4

15 Distinguishing Faults CFin(X) and CFid(X) can be obtained by the set operation similar to that for SAFs and CFst(X) Other situations can be analyzed by the same way, and all the intra-word coupling faults can be distinguished 5

16 Locating the CFst(X) Aggressors Assume that a CF(X) occurs and the victim is the vth bit of the faulty word, i.e., the rest of the bits are possible aggressors To figure out the aggressor, an adaptive March- like algorithm is depicted as follows: {( wx );( wd, rd )} Where for 0 i B and i v, and D = X d i { d0, d,, d B } = {0 0,0 0,,00 0 The worst case operations is B- for wd and rd, respectively The overall complexity is m(2b-) for locating all the aggressors inside a RAM with B-bit words and m CFst(X) faults } 6

17 Comparison of Diagnosis Resolution Diagnosis resolution = The number of distinguished faults The number of all target faults Algorithms March-20N [3] 59% Diagnosis [4] 22.7% March Cd [5] 63.6% March Ed [5] March-7N 90.9% 00% Diagnosis resolution 7

18 Comparison of Time Complexity Algorithms March-20N [3] 20 N 5 N Diagnosis [4] 2 N 5 N March Cd [5] 3 N 3 N March Ed [5] 8 N 3 N March-7N 7 N 3 N Time complexity time complexity for first-part test time complexity for second-part test 8

19 Comparison with Diagnosis Diagnosis Ours Number of CFs 9

20 RAM BISD Embedded memory test and diagnosis is an important issue in SOC development BIST is a cost-effective solution, even is the best solution, for embedded memories Low cost At-speed testing Low pin count overhead A BISD design for embedded memories includes BIST Diagnostic data receiver (DDR) 20

21 A RAM BISD Architecture ADDR DI DO WEB CS OE BE F BSO BS I BMS DDR ERR EOP CONT CMD TGO TPG ADDR_T DI_T DO_T WEB_T ADDR_S DI_S DO_S WEB_S SRAM BSC BRS BGO CTR DONE ENA CS_T OE_T CS_S OE_S CLK Test_se 2

22 BISD in Diagnosis Mode In diagnosis mode it can run user-specified march algorithm for test/diagnosis EOP format: Addr Session Syndrome A sample of timing diagram is as follows CLK ERR EOP BEF BSO CONT 22

23 SOC Challenges: Test Data Reduction Conventional test data transportation Workstation Phase transport t ATE Phase 2 transport Chip Disk Pattern memory Source: C.-W. Wu, J.-F. Li, C.-T. Huang, JCIEE

24 Test Data Compression Generic architecture for test data compression and decompression TAM com mpression deco mpression com mpression decom mpression com mpression decom mpression Core Wrapper Core2 Wrapper Core N Wrapper Source: C.-W. Wu, J.-F. Li, C.-T. Huang, JCIEE

25 Diagnostic Data Compression Memory BIST with diagnostic support Embedded memories usually have wide-length words Purpose Reducing testing time and storage requirement of ATE 25

26 Background Diagnostic data for word-oriented RAMs Faulty-cell address March Syndrome Hamming Syndrome March Syndrome A k-bit sequence in which the ith bit is (0) if the fault is detected (not detected) by the ith Read operation For example, the March syndrome for stuck-at-0 t 0fault ltis (000000) for the March-7N test 26

27 Hamming syndrome Background The module-2 sum of the expected fault-free free data output vector and the output vector from the memory under test Diagnosis data usually has to be exported from the BISD circuit serially to the external tester Excessive time for diagnostic test Increased tester storage requirement Compression can solve this problem 27

28 Compression by Syndrome Accumulation Conventional approach for exporting diagnostic data: Each time a fault is detected, the BISD circuit exports the faulty-cell address and March syndrome There is redundancy since a fault can be detected by many Read operations during the test process 28

29 Syndrome Accumulation Process 000 Column address SAF(0) Row address CFst(H,0,0) Fault SAF() SAF() CFin(L,, ) CFin(L,, ) SAF(0) CFst(H,0,0) Diagnostic data D=(0000, ) D2=(00, ) D3=(0000, ) D4=(0000, ) 29

30 Syndrome Accumulation Circuit Rst Up/dow wn shift re gister Clk Hit S S 0 Vdd S 0 Vdd S 0 Vdd W0 M0 W M Wk- Mk- Addres ssing Mec chanism W0 M0 W M Wk- Mk- Data Register Mask Register Faulty-cell Address March Syndrome CAM Data Register 30

31 Syndrome Accumulation Circuit Rst Clk Up/d down shift register Hit S S Data Register 0 0 W0 Mask Register Vdd M0 S Ad ddressing Me chanism M0 W0 W Vdd S 0 0 Vdd W M M Wk- Mk- Wk- Mk Data Register 3

32 Compression Ratio We define the compression ratio as R= Number of compressed bits Number of original bits Compression comparison RAM size March Cd Modified March C March-26N March-2N March-7N 256K 36.84% 26.9% 30.30% 45.7% 28.89% 52K 36.52% 25.79% 29.95% 45.45% 45% 28.55% M 36.23% 25.42% 29.62% 45.2% 28.24% 32

33 Hamming Syndrome Compression Embedded RAMs usually have wide-length words Most of the diagnostic data is Hamming syndrome For example, if an 8kx256-bit RAM is diagnosed by the March Cd [5], which h has 72 Read operations (extended for word-oriented memories with 9 data backgrounds) 3-bit faulty address 7-bit March syndrome 256-bit Hamming syndrome The ratio of Hamming syndrome and the diagnostic data is about

34 Tree-Based Coding Technique A source message can be regarded as the combinations of symbols with different sizes For example, a source message ( ) can be represented by five 4-bit symbols { }, or four 5-bit symbols { }, or so on Only three unique symbols { } are included for 4-bit symbols We can compress the message based on the three unique symbols 34

35 Tree-Based Coding Technique Assumptions A source message S = { s, s,, s } With probabilities S has been sorted with Encoding procedure e { 2 m, p 2, p m p, S Partition the symbols of into parts unique symbols S { s, s,, s } are obtained n With probabilities Where p, p 2, = p, p 2 = p 2, p n 2 = { 2 n p, p, p n m p n = p i i = n p m 35

36 Tree-Based Coding Technique Algorithm of the tree-based code Step : Select two symbols with the smallest probabilities s i and s in as leaves, then generate j S an intermediate node as their parent Step 2: Mark the edge from parent to one child with 0 and dthe other with ith Step 3: Assign the above two probabilities with their sum, then the new S = ( S { si, s j}) { si + s j}. If S is the only root left, then stop. Otherwise repeat step 36

37 Hamming Syndrome Compression Defects are usually distributed in the wafer randomly Fault distribution of a RAM is also random The percentage of the faulty bits with respect to the size of RAM is very small Most of bits of Hamming syndrome are 0s Partition each Hamming syndrome into B-bit symbols The symbol with all 0s usually has the highest probability 37

38 Hamming Syndrome Compression Tree-based Symbol 0000 Hexadecimal 0 Codeword A B A B C C D D E F 0 E F

39 Experimental Results RF R for 64-bit HS R for 28-bit HS R for 256-bit HS B=4 B=8 B=6 B=4 B=8 B=6 B=4 B=8 B= Without Other faults With two Faulty rows 28.6% 7.5% 2.9% 28.7% 7.6% 3.% 28.7% 7.7% 3.2% 26.8% 5.% 9.6% 26.9% 5.2% 9.7% 26.9% 5.2% 9.9% 25.9% 3.8% 8.0% 26.0% 3.9% 8.% 26.% 4.0% 8.2% 28.8% 7.7% 3.3% 27.0% 5.3% 0.0% 26.% 4.% 8.2% 29.0% 8.2% 4.% 27.2% 5.7% 0.7% 26.4% 4.5% 9.0% 32.3% 20.4% 5.3% 30.6% 8.% 2.3% 29.8% 7.% 0.% 3.% 9.5% 4.5% 29.3% 7.%.6% 28.5% 6.% 0.0% 30.5% 9.4% 4.2% 28.8% 6.9%.3% 28.% 5.7% 9.7% 30.% 8.9% 4.3% 28.6% 6.5%.2% 27.8% 5.5% 9.7% 29.8% 8.8% 4.6% 28.% 6.3%.2% 27.5% 5.4% 9.7% 3.7% 22.4% 20.5% 3.9% 22.3% 20.7% With 0% cluster faults 3.9% 22.4% 2.0% 3.9% 22.5% 2.2% 32.4% 23.2% 22.3% 29.0% 8.0% 4.2% 29.% 8.% 4.4% 29.% 8.2% 4.5% 29.3% 8.4% 4.8% 29.6% 9.0% 5.8% 27.5% 5.9% 0.9% 27.7% 6.0%.% 27.7% 6.%.3% 27.8% 6.2%.5% 28.3% 7.0% 2.7% 39

40 A BISD with Compression Capability 40

41 Syndrome Compressor Architecture 4

42 Area Cost of Syndrome Compressor 42

43 Memory Testing & Bitmapping A RAM is built-up as shown below D Address Scrambling Control Q When a memory is tested, a bitmap can be made Bitmap A topological representation of the read operations on a memory during a test 43

44 Bitmap Fault Types of Interest Complete dead All cells in the memory cell array fail at least one read operation 44

45 Bitmap Fault Types of Interest Single cell fault One isolated cell fails at least one read operation 45

46 Bitmap Fault Types of Interest Column fault All cells in one memory column fail 46

47 Bitmap Fault Types of Interest Partial column fault Many, but not all cells in one memory column fail 47

48 Bitmap Fault Types of Interest Row fault All cells in one memory row fail 48

49 Bitmap Fault Types of Interest Partial row fault Many, but not all cells in one memory row fail 49

50 Process Monitoring Any failing bitmap will consist of one or more of the bitmap fault types described above Once a bitmap fault type and its location have been determined for a failing memory Conclusions can be drawn regarding g the physical failure mode and its location For example, a column fault may be caused by a shorted bitline 50

51 Bitmapping Using BIST Normal I/Os BIST Scrambler Test Collar RAM Diagnostic Data output DDR 5

52 Diagnosis Using Serial BIST Serial fault masking effect SA (RxW 0) 8 Output data X X X X X X X X X X Next state ( R0W ) 8 Output data Next state 52

53 Diagnosis Using Serial BIST Using bidirectional serial interface Memory Write Read Latch Latch Latch Shift_left/right SI SO 53

54 Diagnosis Using Serial BIST Two-phase diagnosis methodology Phase-: shift-right operation (RxWW 0) 8 Output data SA X X X X X X X X X X Next state Phase-2: shift-left operation ( R0W ) 8 Output data Next state 54

55 Summary The following topics have been given March-based diagnosis algorithms RAM BISD methodology RAM BISD with compression capability Memory bitmapping using BIST Diagnosis methodology in serial BIST designs 55

56 References [] C.-W. Wang, C.-F. Wu, J.-F. Li, C.-W. Wu, T. Teng, K. Chiu, and H.-P. Lin, ``A built-in self-test and self-diagnosis scheme for embedded SRAM'', in Proc. 9th IEEE Asian Test Symp. (ATS),Taipei, Dec. 2000, pp [2]J.-F. Li, K.-L. Cheng, C.-T. Huang, and C.-W. Wu, March-based diagnosis algorithms for Stuck-At and coupling faults, Proc. Int. Test Conf. (ITC), Oct., 200, pp [3]I.-Kim, Y. Zorian, G. Komoriya, H. Pham, F. P. Higgins, and J. L. Lweandowski, Built in self repair for embedded high density SRAM, in Proc. Int. Conf. (ITC), Oct. 998, pp [4]T.-J. Bergfeld, et al., Diagnostic testing of embedded memories using BIST, in Proc. Design, Automation and Test in Europe (DATE), Paris, Mar. 2000, pp [5]V. N. Yarmolik, et al., RAM diagnostic tests, in Proc. IEEE Workshop on Memory Technology, Design and Testing (MTDT), 996, pp [6]J.-F. Li, R-S R.-S. Tzeng and C.-W. Wu, Wu Diagnostic data compression techniques for embedded memories with built-in self-test, Journal of Electronic Testing: Theory and Applications, vol. 8, pp , [7]I. Schanstra, et al., Semiconductor manufacturing process monitoring using built- in self-test for embedded memories, in Proc. Int. Test Conf. (ITC), Oct. 998, pp [8]D.-C. Huang and W.-B. Jone, A parallel built-in self-diagnostic method for embedded memory arrays, IEEE Trans. On CAD, vol.2, no. 4, pp , April,

An Effective Test and Diagnosis Algorithm for Dual-Port Memories

An Effective Test and Diagnosis Algorithm for Dual-Port Memories An Effective Test and Diagnosis Algorithm for Dual-Port Memories Youngkyu Park, Myung-Hoon Yang, Yongjoon Kim, Dae-Yeal Lee, and Sungho Kang This paper proposes a test algorithm that can detect and diagnose

More information

Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary

Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary Extracted from Agrawal & Bushnell VLSI Test: Lecture 15 1 % of chip area Importance of memories Memories dominate

More information

Outline - BIST. Why BIST? Memory BIST Logic BIST pattern generator & response analyzer Scan-based BIST architecture. K.T. Tim Cheng 08_bist, v1.

Outline - BIST. Why BIST? Memory BIST Logic BIST pattern generator & response analyzer Scan-based BIST architecture. K.T. Tim Cheng 08_bist, v1. 1 Outline - BIST Why BIST? Memory BIST Logic BIST pattern generator & response analyzer Scan-based BIST architecture 2 Why Built-In Self Test? TYPES On-Line Self-Test (Concurrent Checking) Functional Self-Test

More information

Yield Evaluation Methods of SRAM Arrays: a Comparative Study

Yield Evaluation Methods of SRAM Arrays: a Comparative Study IMTC 2 - Instrumentation and Measurement Technology Conference Como, Italy, 2 May 2 Yield Evaluation Methods of SRAM Arrays: a Comparative Study M. Ottavi,L.Schiano,X.Wang,Y-B.Kim,F.J.Meyer,F.Lombardi

More information

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types ECE-470 Digital Design II Memory Test Motivation Semiconductor memories are about 35% of the entire semiconductor market Memories are the most numerous IPs used in SOC designs Number of bits per chip continues

More information

Introduction to VLSI Testing

Introduction to VLSI Testing Introduction to 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Problems to Think How are you going to test A 32 bit adder A 32 bit counter A 32Mb

More information

Test-Length Selection and TAM Optimization for Wafer-Level, Reduced Pin-Count Testing of Core-Based Digital SoCs

Test-Length Selection and TAM Optimization for Wafer-Level, Reduced Pin-Count Testing of Core-Based Digital SoCs Test-Length Selection and TAM Optimization for Wafer-Level, Reduced Pin-Count Testing of Core-Based Digital SoCs Sudarshan Bahukudumbi and Krishnendu Chakrabarty Department of Electrical and Computer Engineering

More information

Outline Fault Simulation

Outline Fault Simulation K.T. Tim Cheng, 4_fault_sim, v. Outline Fault Simulation Applications of fault simulation Fault coverage vs product quality Fault simulation scenarios Fault simulation algorithms Fault sampling K.T. Tim

More information

Optimizing Stresses for Testing DRAM Cell Defects Using Electrical Simulation

Optimizing Stresses for Testing DRAM Cell Defects Using Electrical Simulation Optimizing Stresses for Testing RAM Cell efects Using Electrical Simulation Zaid Al-Ars Ad J. van de Goor Faculty of Information Technology and Systems Section of Computer Engineering elft University of

More information

Relating Entropy Theory to Test Data Compression

Relating Entropy Theory to Test Data Compression Relating Entropy Theory to Test Data Compression Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 7872 Email: {kjbala, touba}@ece.utexas.edu

More information

ECE 3060 VLSI and Advanced Digital Design. Testing

ECE 3060 VLSI and Advanced Digital Design. Testing ECE 3060 VLSI and Advanced Digital Design Testing Outline Definitions Faults and Errors Fault models and definitions Fault Detection Undetectable Faults can be used in synthesis Fault Simulation Observability

More information

EECS 579: SOC Testing

EECS 579: SOC Testing EECS 579: SOC Testing Core-Based Systems-On-A-Chip (SOCs) Cores or IP circuits are predesigned and verified functional units of three main types Soft core: synthesizable RTL Firm core: gate-level netlist

More information

Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance

Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance Tong-Yu Hsieh and Kuen-Jong Lee Department of Electrical Engineering National Cheng Kung University Tainan, Taiwan 70101

More information

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Chidambaram Alagappan and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849,

More information

Defect-Oriented and Time-Constrained Wafer-Level Test-Length Selection for Core-Based Digital SoCs

Defect-Oriented and Time-Constrained Wafer-Level Test-Length Selection for Core-Based Digital SoCs Defect-Oriented and Time-Constrained Wafer-Level Test-Length Selection for Core-Based Digital SoCs Sudarshan Bahukudumbi and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke

More information

Design for Testability

Design for Testability Design for Testability Outline Ad Hoc Design for Testability Techniques Method of test points Multiplexing and demultiplexing of test points Time sharing of I/O for normal working and testing modes Partitioning

More information

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009 Test Cost Reduction LG Electronics Lee, Yong LG Electronics 2009 Contents Introduction Key factors for test cost reduction in DFT Test vector volume Low cost ATE Test time Reuse a large block Test cost

More information

STATISTICAL FAULT SIMULATION.

STATISTICAL FAULT SIMULATION. STATISTICAL FAULT SIMULATION. JINS DAVIS ALEXANDER, GRADUATE STUDENT, AUBURN UNIVERSITY. ABSTRACT: Fault simulation is used for the development or evaluation of manufacturing tests. However with the increase

More information

IHS 3: Test of Digital Systems R.Ubar, A. Jutman, H-D. Wuttke

IHS 3: Test of Digital Systems R.Ubar, A. Jutman, H-D. Wuttke IHS 3: Test of Digital Systems R.Ubar, A. Jutman, H-D. Wuttke Integrierte Hard- und Softwaresysteme RT-Level Design data path and control path on RT-level RT level simulation Functional units (F1,..,F4)

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

Logic BIST. Sungho Kang Yonsei University

Logic BIST. Sungho Kang Yonsei University Logic BIST Sungho Kang Yonsei University Outline Introduction Basics Issues Weighted Random Pattern Generation BIST Architectures Deterministic BIST Conclusion 2 Built In Self Test Test/ Normal Input Pattern

More information

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University CS 530 Fault Modeling Yashwant K. Malaiya Colorado State University 1 Objectives The number of potential defects in a unit under test is extremely large. A fault-model presumes that most of the defects

More information

Chapter 2 Fault Modeling

Chapter 2 Fault Modeling Chapter 2 Fault Modeling Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why Model Faults? Fault Models (Faults)

More information

Design for Testability

Design for Testability Design for Testability Outline Ad Hoc Design for Testability Techniques Method of test points Multiplexing and demultiplexing of test points Time sharing of I/O for normal working and testing modes Partitioning

More information

Predicting IC Defect Level using Diagnosis

Predicting IC Defect Level using Diagnosis 2014 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising

More information

Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters

Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst,

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

ALU A functional unit

ALU A functional unit ALU A functional unit that performs arithmetic operations such as ADD, SUB, MPY logical operations such as AND, OR, XOR, NOT on given data types: 8-,16-,32-, or 64-bit values A n-1 A n-2... A 1 A 0 B n-1

More information

CSCI 2570 Introduction to Nanocomputing

CSCI 2570 Introduction to Nanocomputing CSCI 2570 Introduction to Nanocomputing Information Theory John E Savage What is Information Theory Introduced by Claude Shannon. See Wikipedia Two foci: a) data compression and b) reliable communication

More information

Bit Line Coupling Memory Tests for Single-Cell Fails in SRAMs

Bit Line Coupling Memory Tests for Single-Cell Fails in SRAMs Bit Line Coupling Memory Tests for Single-Cell Fails in SRAMs Sandra Irobi Zaid Al-Ars Said Hamdioui {i.s.irobi, z.al-ars, s.hamdioui}@tudelft.nl CE Laboratory, EEMCS faculty, Delft University of Technology,

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF. Memory Density. Test Time in Seconds (Memory Size n Bits) 10/28/2014

Overview ECE 553: TESTING AND TESTABLE DESIGN OF. Memory Density. Test Time in Seconds (Memory Size n Bits) 10/28/2014 ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Memory testing Overview Motivation and introduction Functional model of a memory A simple minded test and its limitations Fault models March tests

More information

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng.

More information

Saturating Counters: Application and Design Alternatives

Saturating Counters: Application and Design Alternatives " Saturating Counters: Application and Design Alternatives Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 01003 Email: koren@euler.ecs.umass.edu,

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

Test Pattern Generator for Built-in Self-Test using Spectral Methods

Test Pattern Generator for Built-in Self-Test using Spectral Methods Test Pattern Generator for Built-in Self-Test using Spectral Methods Alok S. Doshi and Anand S. Mudlapur Auburn University 2 Dept. of Electrical and Computer Engineering, Auburn, AL, USA doshias,anand@auburn.edu

More information

Coding for Memory with Stuck-at Defects

Coding for Memory with Stuck-at Defects Coding for Memory with Stuck-at Defects Yongjune Kim B. V. K. Vijaya Kumar Electrical Computer Engineering, Data Storage Systems Center (DSSC) Carnegie Mellon University Pittsburgh, USA yongjunekim@cmu.edu,

More information

WITH increasing complexity in systems design from increased

WITH increasing complexity in systems design from increased 150 IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 51, NO. 1, FEBRUARY 2001 Data Compression in Space Under Generalized Mergeability Based on Concepts of Cover Table and Frequency Ordering

More information

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs.

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs. Overview Design for testability(dft) makes it possible to: Assure the detection of all faults in a circuit. Reduce the cost and time associated with test development. Reduce the execution time of performing

More information

DISTANCE BASED REORDERING FOR TEST DATA COMPRESSION

DISTANCE BASED REORDERING FOR TEST DATA COMPRESSION DISTANCE BASED REORDERING FOR TEST DATA COMPRESSION Muthiah M. A. and E. Logashanmugam Department of Electronics and Communication Engineering, Sathyabama University, Chennai, India E-Mail: muthiah.m.a@outlook.com

More information

Semiconductor memories

Semiconductor memories Semiconductor memories Semiconductor Memories Data in Write Memory cell Read Data out Some design issues : How many cells? Function? Power consuption? Access type? How fast are read/write operations? Semiconductor

More information

Design and Verification of a Dual Port RAM Using UVM Methodology

Design and Verification of a Dual Port RAM Using UVM Methodology Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 5-2018 Design and Verification of a Dual Port RAM Using UVM Methodology Manikandan Sriram Mohan Dass ms1289@rit.edu

More information

Write Once Memory Codes and Lattices for Flash Memories

Write Once Memory Codes and Lattices for Flash Memories Write Once Memory Codes and Lattices for Flash Memories Japan Advanced Institute of Science and Technology September 19, 2012 Seoul National University Japan Advanced Institute of Science and Technology

More information

PPM Reduction on Embedded Memories in System on Chip

PPM Reduction on Embedded Memories in System on Chip PPM Reduction on Embedded Memories in System on Chip Said Hamdioui Zaid Al-Ars Delft University of Technology Computer Engineering Laboratory Mekelweg 4, 2628 CD Delft, The Netherlands {S.Hamdioui, Z.Alars}@ewi.tudelft.nl

More information

Slow Write Driver Faults in 65nm SRAM Technology: Analysis and March Test Solution

Slow Write Driver Faults in 65nm SRAM Technology: Analysis and March Test Solution Slow Write Driver Faults in 65nm SRAM Technology: Analysis and March Test Solution Alexandre Ney, Patrick Girard, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian To cite this

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs *

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Hans T. Heineken and Wojciech Maly Department of Electrical and Computer Engineering Carnegie Mellon

More information

Jin-Fu Li. Department of Electrical Engineering National Central University Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering National Central University Jhongli, Taiwan Trnsprent BIST for RAMs Jin-Fu Li Advnced d Relible Systems (ARES) Lb. Deprtment of Electricl Engineering Ntionl Centrl University Jhongli, Tiwn Outline Introduction Concept of Trnsprent Test Trnsprent

More information

Information Redundancy: Coding

Information Redundancy: Coding Info Redundancy April 2, 23 Information Redundancy: Coding Often applied to Info transfer: often serial communication thru a channel Info storage Hamming distance: error detection & correction capability

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References

EE241 - Spring 2000 Advanced Digital Integrated Circuits. References EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 26 Memory References Rabaey, Digital Integrated Circuits Memory Design and Evolution, VLSI Circuits Short Course, 1998.» Gillingham, Evolution

More information

Image and Multidimensional Signal Processing

Image and Multidimensional Signal Processing Image and Multidimensional Signal Processing Professor William Hoff Dept of Electrical Engineering &Computer Science http://inside.mines.edu/~whoff/ Image Compression 2 Image Compression Goal: Reduce amount

More information

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory SRAM Design Chapter Overview Classification Architectures The Core Periphery Reliability Semiconductor Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable

More information

Construction of low complexity Array based Quasi Cyclic Low density parity check (QC-LDPC) codes with low error floor

Construction of low complexity Array based Quasi Cyclic Low density parity check (QC-LDPC) codes with low error floor Construction of low complexity Array based Quasi Cyclic Low density parity check (QC-LDPC) codes with low error floor Pravin Salunkhe, Prof D.P Rathod Department of Electrical Engineering, Veermata Jijabai

More information

GMU, ECE 680 Physical VLSI Design 1

GMU, ECE 680 Physical VLSI Design 1 ECE680: Physical VLSI Design Chapter VIII Semiconductor Memory (chapter 12 in textbook) 1 Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies

More information

Effectiveness and failure modes of error correcting code in industrial 65 nm CMOS SRAMs exposed to heavy ions

Effectiveness and failure modes of error correcting code in industrial 65 nm CMOS SRAMs exposed to heavy ions NUCLEAR SCIENCE AND TECHNIQUES 25, 45 (24) Effectiveness and failure modes of error correcting code in industrial 65 nm CMOS SRAMs exposed to heavy ions TONG Teng ( 童腾 ),, 2 WANG Xiao-Hui ( 王晓辉 ),, 2 ZHANG

More information

ECE 1767 University of Toronto

ECE 1767 University of Toronto Applications Why Two Fault Simulators Never Agree General Techniques Parallel Pattern Simulation Inactive Fault Removal Critical Path Tracing Fault Sampling Statistical Fault Analysis ECE 767 Fault grading

More information

Generation of High Quality Non-Robust Tests for Path Delay Faults

Generation of High Quality Non-Robust Tests for Path Delay Faults Generation of High Quality Non-Robust Tests for Path Delay Faults Kwang-Ting Cheng Hsi-Chuan Chen Department of ECE AT&T Bell Laboratories University of California Murray Hill, NJ 07974 Santa Barbara,

More information

Built-In Test Generation for Synchronous Sequential Circuits

Built-In Test Generation for Synchronous Sequential Circuits Built-In Test Generation for Synchronous Sequential Circuits Irith Pomeranz and Sudhakar M. Reddy + Electrical and Computer Engineering Department University of Iowa Iowa City, IA 52242 Abstract We consider

More information

TESTING a digital circuit accounts for a large part of

TESTING a digital circuit accounts for a large part of IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 55, NO. 2, APRIL 2006 389 Embedded Test Resource for SoC to Reduce Required Tester Channels Based on Advanced Convolutional Codes Yinhe Han, Member,

More information

Advanced Testing. EE5375 ADD II Prof. MacDonald

Advanced Testing. EE5375 ADD II Prof. MacDonald Advanced Testing EE5375 ADD II Prof. MacDonald Functional Testing l Original testing method l Run chip from reset l Tester emulates the outside world l Chip runs functionally with internally generated

More information

Linear Programming Bounds for Robust Locally Repairable Storage Codes

Linear Programming Bounds for Robust Locally Repairable Storage Codes Linear Programming Bounds for Robust Locally Repairable Storage Codes M. Ali Tebbi, Terence H. Chan, Chi Wan Sung Institute for Telecommunications Research, University of South Australia Email: {ali.tebbi,

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

No.5 Node Grouping in System-Level Fault Diagnosis 475 identified under above strategy is precise in the sense that all nodes in F are truly faulty an

No.5 Node Grouping in System-Level Fault Diagnosis 475 identified under above strategy is precise in the sense that all nodes in F are truly faulty an Vol.16 No.5 J. Comput. Sci. & Technol. Sept. 2001 Node Grouping in System-Level Fault Diagnosis ZHANG Dafang (± ) 1, XIE Gaogang (ΞΛ ) 1 and MIN Yinghua ( ΠΦ) 2 1 Department of Computer Science, Hunan

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

Semiconductor Memories

Semiconductor Memories Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 Chapter Overview Memory Classification Memory Architectures

More information

SIGNATURE ROLLBACK WITH EXTREME COMPACTION A TECHNIQUE FOR TESTING ROBUST VLSI CIRCUITS WITH REDUCED HARDWARE OVERHEAD

SIGNATURE ROLLBACK WITH EXTREME COMPACTION A TECHNIQUE FOR TESTING ROBUST VLSI CIRCUITS WITH REDUCED HARDWARE OVERHEAD Annales Univ. Sci. Budapest., Sect. Comp. 39 (2013) 161 180 SIGNATURE ROLLBACK WITH EXTREME COMPACTION A TECHNIQUE FOR TESTING ROBUST VLSI CIRCUITS WITH REDUCED HARDWARE OVERHEAD Thomas Indlekofer (Paderborn,

More information

Design and Comparison of Wallace Multiplier Based on Symmetric Stacking and High speed counters

Design and Comparison of Wallace Multiplier Based on Symmetric Stacking and High speed counters International Journal of Engineering Research and Advanced Technology (IJERAT) DOI:http://dx.doi.org/10.31695/IJERAT.2018.3271 E-ISSN : 2454-6135 Volume.4, Issue 6 June -2018 Design and Comparison of Wallace

More information

Regenerating Codes and Locally Recoverable. Codes for Distributed Storage Systems

Regenerating Codes and Locally Recoverable. Codes for Distributed Storage Systems Regenerating Codes and Locally Recoverable 1 Codes for Distributed Storage Systems Yongjune Kim and Yaoqing Yang Abstract We survey the recent results on applying error control coding to distributed storage

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 17, 2018 I/O Circuits, Inductive Noise, CLK Generation Lecture Outline! Packaging! Variation and Testing! I/O Circuits! Inductive

More information

Longest Path Selection for Delay Test under Process Variation

Longest Path Selection for Delay Test under Process Variation 2093 1 Longest Path Selection for Delay Test under Process Variation Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker and Weiping Shi Abstract Under manufacturing process variation, a path through a net

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

A Hardware Approach to Self-Testing of Large Programmable Logic Arrays

A Hardware Approach to Self-Testing of Large Programmable Logic Arrays EEE TRANSACTONS ON COMPUTERS, VOL. C-30, NO. 11, NOVEMBER 1981 A Hardware Approach to Self-Testing of Large Programmable Logic Arrays 829 WLFRED DAEHN AND JOACHM MUCHA, MEMBER, EEE Abstract-A hardware

More information

Contributions to the Evaluation of Ensembles of Combinational Logic Gates

Contributions to the Evaluation of Ensembles of Combinational Logic Gates Contributions to the Evaluation of Ensembles of Combinational Logic Gates R. P. Ribas, S. Bavaresco, N. Schuch, V. Callegaro,. Lubaszewski, A. I. Reis Institute of Informatics FRGS, Brazil. ISCAS 29 &

More information

VLSI Design I. Defect Mechanisms and Fault Models

VLSI Design I. Defect Mechanisms and Fault Models VLSI Design I Defect Mechanisms and Fault Models He s dead Jim... Overview Defects Fault models Goal: You know the difference between design and fabrication defects. You know sources of defects and you

More information

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap EECS150 - Digital Design Lecture 26 Faults and Error Correction Nov. 26, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof.

More information

Binary Convolutional Codes

Binary Convolutional Codes Binary Convolutional Codes A convolutional code has memory over a short block length. This memory results in encoded output symbols that depend not only on the present input, but also on past inputs. An

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2013-2014 Final Examination CLOSED BOOK Kewal K. Saluja Date:

More information

Semiconductor Memories

Semiconductor Memories Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Semiconductor Memories December 20, 2002 Chapter Overview Memory Classification Memory Architectures

More information

Fault Tolerant Computing CS 530 Information redundancy: Coding theory. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Information redundancy: Coding theory. Yashwant K. Malaiya Colorado State University CS 530 Information redundancy: Coding theory Yashwant K. Malaiya Colorado State University March 30, 2017 1 Information redundancy: Outline Using a parity bit Codes & code words Hamming distance Error

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

Overview. 4. Built in Self-Test. 1. Introduction 2. Testability measuring 3. Design for testability. Technical University Tallinn, ESTONIA

Overview. 4. Built in Self-Test. 1. Introduction 2. Testability measuring 3. Design for testability. Technical University Tallinn, ESTONIA Overview. Introduction 2. Testability measuring 3. Design for testability 4. Built in Self-Test Built-In Self-Test Outline Motivation for BIST Testing SoC with BIST Test per Scan and Test per Clock HW

More information

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs April 16, 2009 John Wawrzynek Spring 2009 EECS150 - Lec24-blocks Page 1 Cross-coupled NOR gates remember, If both R=0 & S=0, then

More information

Shift Register Counters

Shift Register Counters Shift Register Counters Shift register counter: a shift register with the serial output connected back to the serial input. They are classified as counters because they give a specified sequence of states.

More information

ONE of the main challenges in very large scale integration

ONE of the main challenges in very large scale integration 386 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 26, NO. 2, FEBRUARY 2007 Relationship Between Entropy and Test Data Compression Kedarnath J. Balakrishnan, Member,

More information

Chapter 8. Low-Power VLSI Design Methodology

Chapter 8. Low-Power VLSI Design Methodology VLSI Design hapter 8 Low-Power VLSI Design Methodology Jin-Fu Li hapter 8 Low-Power VLSI Design Methodology Introduction Low-Power Gate-Level Design Low-Power Architecture-Level Design Algorithmic-Level

More information

A DFT Approach for Diagnosis and Process Variation-Aware Structural Test of Thermometer Coded Current Steering DACs

A DFT Approach for Diagnosis and Process Variation-Aware Structural Test of Thermometer Coded Current Steering DACs A DFT Approach for Diagnosis and Process Variation-Aware Structural Test of Thermometer Coded Current Steering DACs Abstract A design for test (DFT) hardware is proposed to increase the controllability

More information

An Adaptive Decompressor for Test Application with Variable-Length Coding

An Adaptive Decompressor for Test Application with Variable-Length Coding Vol. 2 IPSJ Digital Courier June 2006 Regular Paper An Adaptive Decompressor for Test Application with Variable-Length Coding Hideyuki Ichihara, Masakuni Ochi,, Michihiro Shintani, and Tomoo Inoue Test

More information

Hardware to Compute Walsh Coefficients

Hardware to Compute Walsh Coefficients Hardware to Compute alsh Coefficients Yukihiro Iguchi 1 Tsutomu asao 2 1 Department of Computer cience, Meiji University 2 Department of Computer cience and Electronics, Kyushu Institute of Technology

More information

MM74C912 6-Digit BCD Display Controller Driver MM74C917 6-Digit Hex Display Controller Driver

MM74C912 6-Digit BCD Display Controller Driver MM74C917 6-Digit Hex Display Controller Driver MM74C912 6-Digit BCD Display Controller Driver MM74C917 6-Digit Hex Display Controller Driver General Description The MM74C912 MM74C917 display controllers are interface elements with memory that drive

More information

PARITY BASED FAULT DETECTION TECHNIQUES FOR S-BOX/ INV S-BOX ADVANCED ENCRYPTION SYSTEM

PARITY BASED FAULT DETECTION TECHNIQUES FOR S-BOX/ INV S-BOX ADVANCED ENCRYPTION SYSTEM PARITY BASED FAULT DETECTION TECHNIQUES FOR S-BOX/ INV S-BOX ADVANCED ENCRYPTION SYSTEM Nabihah Ahmad Department of Electronic Engineering, Faculty of Electrical and Electronic Engineering, Universiti

More information

Signal integrity in deep-sub-micron integrated circuits

Signal integrity in deep-sub-micron integrated circuits Signal integrity in deep-sub-micron integrated circuits Alessandro Bogliolo abogliolo@ing.unife.it Outline Introduction General signaling scheme Noise sources and effects in DSM ICs Supply noise Synchronization

More information

Semiconductor Memory Classification

Semiconductor Memory Classification Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 18 Error Coding. In the real world. Our beautiful digital world.

Outline. EECS Components and Design Techniques for Digital Systems. Lec 18 Error Coding. In the real world. Our beautiful digital world. Outline EECS 150 - Components and esign Techniques for igital Systems Lec 18 Error Coding Errors and error models Parity and Hamming Codes (SECE) Errors in Communications LFSRs Cyclic Redundancy Check

More information

Sequential Equivalence Checking without State Space Traversal

Sequential Equivalence Checking without State Space Traversal Sequential Equivalence Checking without State Space Traversal C.A.J. van Eijk Design Automation Section, Eindhoven University of Technology P.O.Box 53, 5600 MB Eindhoven, The Netherlands e-mail: C.A.J.v.Eijk@ele.tue.nl

More information

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering Sp 6 Reg. No. Question Paper Code : 27156 B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2015. Second Semester Computer Science and Engineering CS 6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN (Common

More information

Efficient Alphabet Partitioning Algorithms for Low-complexity Entropy Coding

Efficient Alphabet Partitioning Algorithms for Low-complexity Entropy Coding Efficient Alphabet Partitioning Algorithms for Low-complexity Entropy Coding Amir Said (said@ieee.org) Hewlett Packard Labs, Palo Alto, CA, USA Abstract We analyze the technique for reducing the complexity

More information

VECTOR REPETITION AND MODIFICATION FOR PEAK POWER REDUCTION IN VLSI TESTING

VECTOR REPETITION AND MODIFICATION FOR PEAK POWER REDUCTION IN VLSI TESTING th 8 IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems Sopron, Hungary, April 13-16, 2005 VECTOR REPETITION AND MODIFICATION FOR PEAK POWER REDUCTION IN VLSI TESTING M. Bellos

More information

Construction of Protographs for QC LDPC Codes With Girth Larger Than 12 1

Construction of Protographs for QC LDPC Codes With Girth Larger Than 12 1 Construction of Protographs for QC LDPC Codes With Girth Larger Than 12 1 Sunghwan Kim, Jong-Seon No School of Electrical Eng. & Com. Sci. Seoul National University, Seoul, Korea Email: {nodoubt, jsno}@snu.ac.kr

More information