RLS Trade-Off: Questions about Molecular Size and Quantum Yield

Size: px
Start display at page:

Download "RLS Trade-Off: Questions about Molecular Size and Quantum Yield"

Transcription

1 RLS Trade-Off: Questions about Molecular Size and Quantum Yield Robert Brainard and Craig Higgins Supported by SEMATECH and Rohm and Haas 10/2/08 1

2 Outline I. Introduction II. III. IV. Effect of Molecular Weight Quantum Yield Ultra High PAG Resists V. Conclusions and Questions 10/2/08 2

3 RLS Trade-Off 1 New materials/approaches are needed to break-through to new performance surfaces: Reduction in Polymer Molecular Weight Resolution Increasing Quantum Yield Sensitivity LER Surfaces defined by Z-Parameter or K LUP LER (nm) EUV-2D Base Study EUV LER 100nm EUV LER nm [Base] E size (mj/cm 2 ) (1) Brainard, DARPA Review /2/08 3

4 II. Effect of Molecular Weight Can we reduce LER by decreasing the size of the polymer? In this conference: 61% of the papers about new resist materials are about Molecular Glasses Polymer Radius of Gyration R g = 3-4 nm Diameter = 6-8 nm 10/2/08 4

5 II. Effect of Polymer M w on LER: EUV-2D using NA in New Polymers M w = 3-33 Kg/mol Changes in M w will alter dissolution properties: Vary [PAG] and [base] Radius (nm) Radius of Gyration In THF Smallest Sphere Density = 1 g/ml 100 nm Line 8 nm 3-σ LER M K g/mol w 8 nm R g = 2-6 nm Diameter = 4-12 nm 23 nm R = nm Diameter = nm 10/2/08 (2) Cutler & Brainard, SPIE

6 [PAG] and [Base] Variations over Wide Polymer M w Range Round 1 Round 2 Round 3 6 Mws Changing UFTLs 6 Mws, 6 [PAG] Constant UFTL Changing Eo 6 Mws 6 [PAG], 6 [Base] Constant UFTL, Eo Eo (mj/cm2) DUV Eo UFTL UFTL (nm) Eo (mj/cm2) DUV Eo UFTL UFTL (nm) Eo (mj/cm2) DUV Eo UFTL UFTL (nm) Polymer Mw Kg/mole Polymer Mw Kg/mole Polymer Mw Kg/mole UFTL = Unexposed Film Thickness Loss or Dark Loss 10/2/08 6

7 M W has no effect on LER Round 1 Round 2 Round 3 6 Mws Changing UFTLs 6 Mws, 6 [PAG] Constant UFTL Changing Eo 6 Mws 6 [PAG], 6 [Base] Constant UFTL, Eo EUV LER (3σ), nm EUV LER (3σ), nm EUV LER (3σ), nm Polymer Mw Kg/mole Polymer Mw Kg/mole Polymer Mw Kg/mole LER is UNAFFECTED by an order of magnitude change in polymer M w when UFTL is held constant. 10/2/08 7

8 II. Molecular Glasses (MG) Start Small BG hν CO 2 H Stay Small PAG HO 3 S 1) (My observation) Positive Molecular Glasses DO NOT show improved LER, unless they are fairly slow. 2) Negative resists look good Because of polymer formation? 3) Champion resists appear to result from polymeric resists. 10/2/08 8

9 Questions about the role of Molecular Size: (1) Our polymer Mw work was performed in 2003 at NA. Should it be repeated at 0.3 NA and/or IL? (2) Should Molecular Glass Resists be included in a systematic study against polymeric resists? 3) Why do negative MG resists appear to give good LER/Sensitivity? Must polymers be involved for top performance? 10/2/08 9

10 III. Can we Beat RLS by Increasing Film Quantum Yield? We propose that higher quantum yield will allow us to go from Here Here to Increased quantum yield can break the RLS tradeoff LER Gregg Gallatin: 3 1 α Q E R 3 with no penalty to sensitivity. Film Quantum Yield = Number of Acids Generated in the Film Number of Photons Absorbed in the Film 10/2/08 (3) Gallatin, EUV Symposium

11 Quantum Yield Increases with PAG Concentration Eo (mj/cm2) C-Parameter Eo [PAG] (wt%) C-Parameter Film Quantum Yield [PAG] (wt%) Used Szmanda Base-Titration Method # Acids Generated = [PAG](1 e (-CE) )(6.02 x ) 10/2/08 11

12 Higher [PAG]: Higher FQY Lower Z 3,4 LER 2 (nm 2 ) (B) 10% PAG 5% PAG 7.5 % PAG 10% PAG (3) Gallatin, EUV 2007 Symposium (4) Wallow, Higgins and Brainard, SPIE CD 3 E size (1E-9 mj nm) How far can we push [PAG]? 10/2/08 12

13 IV. Ultra High PAG Resists Question #1: Question #2: Question #3: How high can we make FQY? Do we improve RLS? Can we determine how many photoelectrons are made? PAG + e - H + EUV hν e - + h + PAG H + e - + h + + PAG H + e - + h ev e - + h + + PAG H + e - + h + + PAG H + Organic Polymer Film: Primarily C, H, O Film Quantum Yield [PAG] 10/2/08 13

14 IV. High PAG Resist Platforms for FQY and Imaging RLS Study Resist Formulations Polymer O O Base TBAH OH- OH 65/20/15 Photoacid Generator (PAG) Iodonium PAG (I+) DTBI-PFBS Sulfonium PAG (S+) TPS-PFBS (5) Hassanein, Higgins, Thackeray, Brainard et al SPIE (2008) 10/2/08 14

15 Film Quantum Yields vs. [PAG] I+ AMET I+ BMET S+ BMET 12.5 Film Quantum Yield I + S + I + FQY = ~10 H + / EUV hν S + FQY = ~4 H + / EUV hν [PAG] (mol/l) (6) Brainard, Higgins et al., Journal of Photopolymer Science and Tech. (2008) 10/2/08 15

16 Resolution of Ultra-High PAG Resists 120 nm 100 nm 80 nm 60 nm 50 nm 45 nm 40 nm 5 wt% (0.083 mm) 7.5 wt% (0.123 mm) 15 wt% (0.247 mm) 20 wt% (0.330 mm) 30 wt% (0.532 mm) 40 wt% (0.697 mm) Iodonium PAG 80 nm Film Thickness 1:1 Line/Space through PAG Loading 10/2/08 16

17 Resolution of Ultra-High PAG Resists Resolution ( p (nm) ) I+ 125 nm FT I+ 80 nm FT S+ 125 nm FT Patterning Issues at Very High PAG Loadings: I+ 125 nm FT: - Adhesion Failure I+ 80 nm FT: - Pattern Collapse [PAG] (wt%) S+ 125 nm FT: - Top Loss Resolution is consistent, then degrades at > 20% PAG 10/2/08 17

18 Sensitivity of Ultra-High PAG Resists Esize (100 nm L/S) Data: Dose (mj/cm 2 ) I+ 125 nm FT I+ 80 nm FT S+ 125 nm FT - Saturated at 15-20% PAG Eo Data: 2 - Saturated at 15-20% PAG [PAG] (wt%) All data obtained from BMET 10/2/08 18

19 LER of Ultra-High PAG Resists nm Half-Pitch 10 I+ 80 nm 8 LER (nm) 6 4 S+ 125 nm I+ 125 nm [PAG] (wt%) LER is consistent, then degrades > wt% PAG 10/2/08 19

20 Exposure Latitude, Acid Diffusion and K LUP Exposure Latitude decreases with [PAG] Iodonium PAG Sulfonium PAG Exposure Latitude Curves: half pitch 120 nm 100 nm 80 nm 60 nm 50 nm 45 nm Exposure Latitude Curves: half pitch 120 nm 100 nm 80 nm 60 nm 50 nm 45 nm [PAG] (wt%) [PAG] (wt%) All Data at 125 nm Film Thickness 10/2/08 20

21 Acid Diffusion Increases with [PAG] Acid Diffusion Length (nm) I+ 125 nm FT I+ 80 nm FT S+ 125 nm FT Acid diffusion was determined from exposure latitude using the following method: 7 MTF DIFF EL NILS [PAG] (wt%) Why does L D increase for increasing PAG? 10/2/08 21 (7) Van Steenwinckel, Lammers, Koehler, Brainard, and Trefonas JVST (2005)

22 Ultra-High PAG Resist Performance: K LUP K LUP I+ 80 nm FT S+ 125 nm FT I+ (125 nm) FT [PAG] (wt%) Normalized Value nm Line/Space Resolution Esize Resolution LER EL Sensitivity 0.2 LER Exposure Latitude [PAG] (wt%) Best performance is at ~20% PAG: Sensitivity Gains are Cancelled by Acid Diffusion Increases 10/2/08 22

23 V. Conclusions and Additional Questions LER and Resolution Appear to be Flat with [PAG], but then degrades at > 30% PAG. Sensitivity improves, but then flattens out. EL decreases/ diffusion increases with [PAG] The KLUP analysis shows that the sensitivity gains are cancelled by the increased diffusion. 10/2/08 23

24 Why do the improvements in sensitivity stop? 10/2/08 24 Style borrowed from Chris Anderson

25 Why do the improvements in sensitivity stop? Dose (mj/cm 2 ) I+ 125 nm FT 8 6 I+ 80 nm FT S+ 125 nm FT 4 E size 2 Eo [PAG] (wt%) a) Base is being overwhelmed b) Used all available electrons (but why the high FQY for I+?) c) Not enough deblocking groups 10/2/08 25

26 Why does diffusion increase with [PAG]? 10/2/08 26

27 Why does diffusion increase with [PAG]? a) Film T g may change b) Acid solubility parameter may change c) More free volume for acid to diffuse d) Base is being overwhelmed 0.5 Exposure Latitude 140 Acid Diffusion Exposure Latitude nm 100 nm 80 nm 60 nm 50 nm 45 nm Acid Diffusion Length (nm) [PAG] (wt%) [PAG] (wt%) 10/2/08 27

28 V. Planned and Possible Future Work 1) Verify Film Quantum Yield Results (SEMATECH Funded In Collaboration with G. Denbeaux) a) Use direct method for determining optical density b) Use acid sensitive dye to directly measure acid generation 2) Test Low Diffusion Material and Processes a) Lower PEB temperature Increased generated acids no longer need to diffuse as far b) Increase TBA deblocking group in polymer [TBA] may be limiting deblocking rate in high [PAG] Optimize surface for better adhesion 10/2/08 28

29 Will Smaller Molecules give better LER? 10/2/08 29

30 V. Possible Future Work 3) Side-by-side comparison of polymeric and MG resists using: 10 X range in Polymer Mw Best MG available High Resolution tools (BMET 0.3 NA, PSI IL) Apples-to-Apples comparison (K LUP or Z Parameter) 10/2/08 30

31 Break-Through Strategies: An Editorial Higher Absorption Molecular Glass (Pos) Molecular Glass (Neg) Resolution LER Higher Quantum Yield High E RED PAGs Sensitivity Ultra-High PAG Surfaces defined by Z-Parameter or K LUP Anisotropic Diffusion 10/2/08 31

32 Acknowledgements CNSE: Craig Higgins Srividya Revuru Alin Antohe Greg Denbeaux Richard Matyi Rohm and Haas: Jay Machevich Charlotte Cutler Jim Thackeray Peter Trefonas Kathleen Spear SEMATECH: Jacque Georger Kim Dean Andrea Wüest Berkeley: Patrick Naulleau Applied Math Solutions: Gregg Gallatin Chris Anderson 10/2/08 32

33 Future Work We propose that higher quantum yield will allow us to improve resolution, LER with no penalty to sensitivity. This Work - Increased the # of Acids - Saturated Sensitivity Improvement - Used Constant Process Future Work: Increased generated acids no longer need to diffuse as far 10/2/08 33

34 What is Film Quantum Yield? Traditional Quantum Yield vs. Film Quantum Yield EUV hν 92 ev e - + h + PAG H + e - + h + + PAG H + e - + h ev e - + h + + PAG H + e - + h + + PAG H + Organic Polymer Film: Primarily C, H, O Experiments are done in transparent solvents Light is primarily absorbed by single molecules Light is absorbed by everything in the film. Multiple electrons are made. FQY of Acid > 1 QY < 1 Quantum Yield Moles of Product Moles of Photons Absorbed Film Quantum Yield Moles of Acids Generated in the Film Moles of Photons Absorbed by the Film 10/2/08 34

Is an EUV Film Quantum Yield of 30 Possible?

Is an EUV Film Quantum Yield of 30 Possible? Is an EUV Film Quantum Yield of 30 Possible? February 21, 2016 Resist TWG San Jose, CA Greg Denbeaux, Amrit Narasimhan, Steven Grzeskowiak, and Robert L. Brainard SUNY Polytechnic Institute Resist TWG

More information

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

Application of Stochastic Modeling to Resist Optimization Problems

Application of Stochastic Modeling to Resist Optimization Problems Application of Stochastic Modeling to Resist Optimization Problems John J. Biafore, Mark D. Smith KLA-Tencor, FINLE Division, North Capital of Texas Highway, Austin, TX 779 BACKGROUND: Modifying specific

More information

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Molecular Organometallic Resists for EUV (MORE) October 6, 2013 Molecular Organometallic Resists for EUV (MORE) October 6, 2013 Brian Cardineau, 1 James Passarelli, 1 Miriam Sortland, 1 Ryan Del Re, 1 Westly Tear, 1 Hashim Al-Mashat, 2 Miles Marnell, 2 Kara Heard,

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

Polymer Matrix Effects on EUV Acid Generation

Polymer Matrix Effects on EUV Acid Generation Polymer Matrix Effects on EUV Acid Generation Theodore H. Fedynyshyn, Russell B. Goodman, and Jeanette Roberts # Lincoln Laboratory Massachusetts Institute of Technology # Intel Corporation The Lincoln

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST Optimizing RLS Seiichi Tagawa The Institute of Scientific and Industrial Research Osaka University and JST-CREST IEUVI Resist TWG October 2, 2008 Acknowledgement Prof. Takahiro Kozawa Dr. Akinori Kozawa

More information

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis Study of Shot Noise in EUV Resists through EUV and E-Beam Comparative LER Analysis Suchit Bhattarai a), Andrew R. Neureuther Department of Electrical Engineering and Computer Sciences, UC Berkeley, Berkeley,

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Robert Bartynski Sylvie Rangan Department of Physics & Astronomy and Laboratory for Surface Modification

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm Grace H. Ho, 1 Fu-H. Kang, 1 Yu-H. Shih, 1 Hok-S. Fung, Hwang-W. Fu, Rikimaru

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

QsT/ Recently, chemically amplified EUV photoresists have been developed which

QsT/ Recently, chemically amplified EUV photoresists have been developed which , i -..,. Polvmer. Effects on Acid Generation Efficiency Using EUV and DUV Exposures - The mbmitkd amnuaaripihas b Paul Dentinger,* Robert L. Brainard,2 Joseph F. Mackevich,2 Jeffrey M. Guevremont? and

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 501 505 2015SPST Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Measurement of the role of secondary electrons in EUV resist exposures

Measurement of the role of secondary electrons in EUV resist exposures Measurement of the role of secondary electrons in EUV resist exposures June 12, 213 International Workshop on EUV Lithography Greg Denbeaux a, Justin Torok, a Ryan Del Re, a Henry Herbol, a Sanjana Das,

More information

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography Journal of Photopolymer Science and Technology Volume 7, Number () 9 SPST Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

More information

Molecular Glass Resist with Organic Developer

Molecular Glass Resist with Organic Developer 1 Molecular Glass Resist with Organic Developer James M. Blackwell 1,2 Armando Ramirez 1 Hiroki Nakagawa 1,3 Yoshi Hishiro 3 1 Intel's Molecules for Advanced Patterning(MAP) Program, LBNL Molecular Foundry,

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

DUV Positive Photoresists

DUV Positive Photoresists Journal of Photopolymer Science and Technology Volume 5, Number 1(1992) 207-216 Factors Affecting the Performance of Chemically DUV Positive Photoresists Amplified James W. Thackeray, Theodore H. Fedynyshyn,

More information

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Impact of Polymerization Process and OOB on Lithographic Performance of a EUV Resist

Impact of Polymerization Process and OOB on Lithographic Performance of a EUV Resist Impact of Polymerization Process and B on Lithographic Performance of a EUV Resist Vipul Jain* a, Suzanne M Coley a, Jung June Lee b, Matthew D Christianson c, Daniel J Arriola c, Paul LaBeaume a, Maria

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Suchit Bhattarai Electrical Engineering and Computer Sciences University of California at Berkeley

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

A Simple Model of Line-Edge Roughness

A Simple Model of Line-Edge Roughness A Simple Model of Line-Edge Roughness Chris A. Mack www.lithoguru.com Abstract A stochastic modeling approach is used to predict the results of the exposure and post-exposure bake of a chemically amplified

More information

Recent progress in nanoparticle photoresist development for EUV lithography

Recent progress in nanoparticle photoresist development for EUV lithography Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a

More information

Radiation Chemistry of EUV and EB Resists

Radiation Chemistry of EUV and EB Resists Radiation Chemistry of EUV and EB Resists Tagawa 1,2,3 1 The Institute of Scientific and Industrial Research, Osaka University, 2 Japan Science and Technology Agency, CREST, c/o Osaka University, 8-1 Mihogaoka,

More information

World-wide Standardization Effort on Leaching Measurement Methodology

World-wide Standardization Effort on Leaching Measurement Methodology World-wide Standardization Effort on Leaching Measurement Methodology Roel Gronheid 1, Christina Baerts 1, Stefan Caporale 2, Jim Alexander 2, Ben Rathsack 3, Steven Scheer 3, Katsumi Ohmori 4, Bryan Rice

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Takeo Watanabe Center for EUVL, University of Hyogo

Takeo Watanabe Center for EUVL, University of Hyogo Soft X-ray Absorption Spectroscopy using SR for EUV Resist Chemical Reaction Analysis Takeo Watanabe Center for EUVL, University of Hyogo Outline 1) Background 2) Princple of X-ray absorption spectroscopy

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents Gregory N. Toepperwein, Dan Rynearson, Juan J. de Pablo Christine Ouyang, Chris Ober 17 November 2011 1 Motivation Drive to reduce

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas Tutor55.doc: Version 8/10/06 T h e L i t h o g r a p h y E x p e r t (November 2006) Pattern Collapse Chris A. Mack, Austin, Texas Not long ago, defining what an acceptable resist profile looked like was

More information

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX Understanding process-dependent oxygen vacancies in thin HfO 2 /SiO 2 stacked-films on Si (100) via competing electron-hole injection dynamic contributions to second harmonic generation. J. Price, 1,2

More information

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations Microelectronic Engineering xxx (2004) xxx xxx www.elsevier.com/locate/mee Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations G.P.

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Modeling and Simulation of Line Edge Roughness for EUV Resists

Modeling and Simulation of Line Edge Roughness for EUV Resists JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE VOL.4 NO. FEBRUARY 24 http://dx.doi.org/.5573/jsts.24.4..6 Modeling and Simulation of Line Edge Roughness for EUV Resists SangKon Kim Abstract With the extreme

More information

Effect of PAG Location on Resists for Next Generation Lithographies

Effect of PAG Location on Resists for Next Generation Lithographies Effect of PAG Location on Resists for Next Generation Lithographies ber Research Group Materials Science & Engineering Ithaca, NY 14853 Development Trends in Microlithography 10 Contact Printer Architectures

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

Supplementary Information

Supplementary Information Supplementary Information Experimental Section Hybrid Nanoparticle Synthesis The hafnium nanoparticles surface-modified with methacrylic acid (MAA, Sigma Aldrich, 99%), trans 2, 3-dimethylacrylic acid

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Line Edge Roughness, part 2

Line Edge Roughness, part 2 Tutor57D.doc: Version 3/19/07 Line Edge Roughness, part T h e L i t h o g r a p h y E x p e r t (ay 007) In the last edition of this column [1], I began the difficult process of trying to understand the

More information

An analysis of double exposure lithography options

An analysis of double exposure lithography options An analysis of double exposure lithography options Saul Lee a, Je rey Byers b, Kane Jen a, Paul Zimmerman b, Bryan Rice b, Nicholas J. Turro c, and C. Grant Willson a a Department of Chemical Engineering,

More information

High Index Aqueous Immersion Fluids for 193nm and 248nm Lithography

High Index Aqueous Immersion Fluids for 193nm and 248nm Lithography High Index Aqueous Immersion Fluids for 193nm and 248nm Lithography B. W. Smith, Y. Fan, J. Zhou, A. Bourov, L. Zavyalova, E. Piscani, J. Park, D. Summers, F. Cropanese Rochester Institute of Technology

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 3741, pp. 148-160. It is made

More information

Direct write electron beam patterning of DNA complex thin films

Direct write electron beam patterning of DNA complex thin films Direct write electron beam patterning of DNA complex thin films R. A. Jones, W. X. Li, H. Spaeth, and A. J. Steckl a Nanoelectronics Laboratory, University of Cincinnati, Cincinnati, Ohio 45221-0030 Received

More information

Intermolecular Forces

Intermolecular Forces Intermolecular Forces! When two molecules approach one another, they are attracted to some extent! Polar molecules are attracted through the electrostatic interaction of their dipole moments! Non-polar

More information

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions AENSI Journals Australian Journal of asic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Solutions and Their Properties

Solutions and Their Properties Chapter 11 Solutions and Their Properties Solutions: Definitions A solution is a homogeneous mixture. A solution is composed of a solute dissolved in a solvent. When two compounds make a solution, the

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Extreme ultraviolet induced chemical reactions in photoresists and model systems

Extreme ultraviolet induced chemical reactions in photoresists and model systems Extreme ultraviolet induced chemical reactions in photoresists and model systems S. Castellanos Advanced Research Center for Nanolithography 2017 EUVL workshop, June 12-15 List of contributors ARCNL: EUV

More information

M oore s law indicates that the number of transistors in integrated circuits should double about every two

M oore s law indicates that the number of transistors in integrated circuits should double about every two OPEN SUBJECT AREAS: NANOWIRES SURFACE PATTERNING LITHOGRAPHY Beyond EUV lithography: a comparative study of efficient photoresists performance Nassir Mojarad*, Jens Gobrecht & Yasin Ekinci Received 1 September

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Chapter 4: Types of Chemical Reactions and Solution Stoichiometry

Chapter 4: Types of Chemical Reactions and Solution Stoichiometry Chapter 4: Types of Chemical Reactions and Solution Stoichiometry 4.1 Water, the Common Solvent 4.2 The Nature of Aqueous Solutions: Strong and Weak Electrolytes 4.3 The Composition of Solutions (MOLARITY!)

More information

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034,

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004 Development of Photosensitive Polyimides for LCD with High Aperture Ratio May 24, 2004 utline Why is polymer dielectric required for TFT LCD? Requirements of the polymer dielectrics What is polyimide?

More information

Concentration of Solutions

Concentration of Solutions Chapter 11 Textbook Assigned Problems Exercises Concentration of Solutions 25. Because the density of water is 1.00 g/ml, 100.0 ml of water has a mass of 100. g. density = = 1.06 g/ml = 1.06 g/cm 3 mol

More information

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography MCROU,!~'O~C ELSEVER Microelectronic Engineering 46 (1999) 173-177 Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography DUV Holographic L. A. Wang*, C. H. Lin and J. H. Chen nstitute

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

UV-vis (Electronic) Spectra Ch.13 Atkins, Ch.19 Engel

UV-vis (Electronic) Spectra Ch.13 Atkins, Ch.19 Engel XV 74 UV-vis (Electronic) Spectra-2014 -Ch.13 Atkins, Ch.19 Engel Most broadly used analytical tech / especially bio-applic. inexpensive optics / solvent & cell usually not problem intense transitions

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVIII, SPIE Vol. 4345, pp. 1013-1021.

More information

Supplementary Figure S1. Verifying the CH 3 NH 3 PbI 3-x Cl x sensitized TiO 2 coating UV-vis spectrum of the solution obtained by dissolving the

Supplementary Figure S1. Verifying the CH 3 NH 3 PbI 3-x Cl x sensitized TiO 2 coating UV-vis spectrum of the solution obtained by dissolving the Supplementary Figure S1. Verifying the CH 3 NH 3 PbI 3-x Cl x sensitized TiO 2 coating UV-vis spectrum of the solution obtained by dissolving the spiro-ometad from a perovskite-filled mesoporous TiO 2

More information

Chem 400 Basics What You Need to Know Before Coming to Class

Chem 400 Basics What You Need to Know Before Coming to Class Chem 400 Basics What You Need to Know Before Coming to Class A C grade or better in Chem 305, Chem 310, or high school chemistry is a prerequisite for this class. You are therefore expected to know, or

More information

A Study of Resolution Limits Due to Intrinsic Bias in Chemically Amplified Photoresists.

A Study of Resolution Limits Due to Intrinsic Bias in Chemically Amplified Photoresists. A Study of Resolution Limits Due to Intrinsic Bias in Chemically Amplified Photoresists. Sergei V. Postnikov, Michael D. Stewart, Hoang Vi Tran, Mark A. Nierode, David R. Medeiros, T. Cao, Jeffrey Byers,

More information

e - Galvanic Cell 1. Voltage Sources 1.1 Polymer Electrolyte Membrane (PEM) Fuel Cell

e - Galvanic Cell 1. Voltage Sources 1.1 Polymer Electrolyte Membrane (PEM) Fuel Cell Galvanic cells convert different forms of energy (chemical fuel, sunlight, mechanical pressure, etc.) into electrical energy and heat. In this lecture, we are interested in some examples of galvanic cells.

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

SOLUTIONS. Engr. Yvonne Ligaya F. Musico

SOLUTIONS. Engr. Yvonne Ligaya F. Musico SOLUTIONS SOLUTION A homogeneous mixture of two or more substances, the relative proportion of which may vary within certain limits. COMPONENTS OF SOLUTION SOLUTE component which is in small quantity SOLVENT

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

CH676 Physical Chemistry: Principles and Applications. CH676 Physical Chemistry: Principles and Applications

CH676 Physical Chemistry: Principles and Applications. CH676 Physical Chemistry: Principles and Applications CH676 Physical Chemistry: Principles and Applications Crystal Structure and Chemistry Synthesis of Tetrahexahedral Platinum Nanocrystals with High-Index Facets and High Electro-Oxidation Activity Na Tian

More information

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, and E. Gogolides a) Institute of Microelectronics,

More information

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca Femtosecond laser microfabrication in polymers Prof. Dr. Cleber R. Mendonca laser microfabrication focus laser beam on material s surface laser microfabrication laser microfabrication laser microfabrication

More information

PHOTOPOLYMERIZATION REACTION RATES BY REFLECTANCE REAL TIME INFRARED SPECTROSCOPY: APPLICATION TO STEREOLITHOGRAPHY RESINS

PHOTOPOLYMERIZATION REACTION RATES BY REFLECTANCE REAL TIME INFRARED SPECTROSCOPY: APPLICATION TO STEREOLITHOGRAPHY RESINS PHOTOPOLYMERIZATION REACTION RATES BY REFLECTANCE REAL TIME INFRARED SPECTROSCOPY: APPLICATION TO STEREOLITHOGRAPHY RESINS By: Richard P. Chartoff and JinDu University of Dayton Rapid Prototype Development

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

EXPERIMENT NINE Part I - The Standardization of Thiosulfate Solutions

EXPERIMENT NINE Part I - The Standardization of Thiosulfate Solutions EXPERIMENT NINE Part I - The Standardization of Thiosulfate Solutions In general, thiosulfate solutions are standardized by indirect methods, Primary-standard oxidizing agents such as KIO 3, As 2 O 3,

More information

Technology offer: Environmentally friendly holographic recording material

Technology offer: Environmentally friendly holographic recording material Technology offer: Environmentally friendly holographic recording material Technology offer: Environmentally friendly holographic recording material SUMMARY Our research group has developed a new photopolymer

More information

Photoinitiation, Photopolymerization, and Photocuring

Photoinitiation, Photopolymerization, and Photocuring Jean-Pierre Fouassier Photoinitiation, Photopolymerization, and Photocuring Fundamentals and Applications Hanser Publishers, Munich Vienna New York Hanser/Gardner Publications, Inc., Cincinnati Contents

More information

Particle Generation during Photoresist Dissolution

Particle Generation during Photoresist Dissolution Particle Generation during Photoresist Dissolution Siddharth Chauhan a, Mark Somervell b, Michael Carcasi b, Steven Scheer b, Roger T. Bonnecaze a, Chris Mack c and C. Grant Willson a a Department of Chemical

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information