V I. T w 2. 2,l min. k k fi V O. T w C L. 1,l min. t pf. t pr I N. r I N-1

Size: px
Start display at page:

Download "V I. T w 2. 2,l min. k k fi V O. T w C L. 1,l min. t pf. t pr I N. r I N-1"

Transcription

1 Fanout Optimization under a Submicron TranitorLevel Delay Model P. Cocchini y, M. Pedram z,g.piccinini y and M. Zamboni y y Politecnico di Torino, Torino, Italy y cocchini@polito.it, piccinini@polito.it, zamboni@polito.it z Univ. of Southern California, Lo Anele, CA z maoud@zuro.uc.edu Abtract In thi paper we preent a new fanout optimization alorithm which i particularly uitable for diital circuit deined with ubmicron CMOS technoloie. Retrictin the cla of fanout tree to the ocalled bipolar LTtree, the topoloy of the optimal fanout tree i found by mean of a dynamic prorammin alorithm. The buer election i in turn performed by uin a continuou buer izin technique baed on a very accurate delay model epecially developed for ubmicron CMOS procee. The fanout tree can ditribute a inal with arbitrary polarity from the root of the tree to a et of ink with arbitrary required time, required minimum inal lope, polarity and capacitive load. Thee tree can be contructed to maximize the required time at the root or to minimize the total buer area under a required time contraint at the root. The performance of the alorithm how everal improvement with repect to conventional fanout optimization method. More preciely, the area and delay improvement are 28% and 7%, repectively, when the alorithm i applied to entire circuit. Introduction Durin loic ynthei, everal dein tep are performed to tranlate the initial loic decription into a phyical netlit uitable for the nal manufacturin. One of thee tep, fanout optimization, i uually required after the technoloy mappin tep where typically, for a lare number of node in the circuit, the output inal mut be propaated to everal detination (or ink). Theoretically, a fanout alorithm hould be able to take advantae of the lack available at ome output to increae the lack at the initially more critical output to achieve an equilibrium point where all output are equally critical. Conventional technique commonly ued for CMOS tandard cell do not uually achieve thi oal becaue of the dicrete nature of the delay optimization they are baed on. For example, the work reported in [, 2, 4, 7, 8, 9] rely on a cell library with a nite number of Thi reearch wa funded in part by NSF PECASE award number MIP and SRC under contract number 98DJ606. available buer. Furthermore they all ue very imple delay model that everely limit their applicability epecially when ubmicron procee are involved. On the other hand, the approachwe preent coniderably improve thee two apect. Indeed, it i baed on a continuou delay optimization technique whoe main feature are hih accuracy and independence from the technoloy in ue. The delay model i rt applied to the creation of two numerical routine for the dein of delay and area optimized CMOS tapered buer. Then, a buerin alorithm ue them to create a fanout tree where the available lack at the detination are fully exploited to enerate driver whoe delay are tailored to t perfectly between the ink required time. In Section 2 we ive an overview of the delay model adopted in our work and introduce the routine ued for the eneration of the optimized buer. In Section 3 we ive ome baic denition and explain the buerin alorithm propoed for the olution of the fanout problem. Section 4 report the reult obtained tetin the alorithm on dierent benchmark circuit. Concludin remark are preented in Section 5. 2 Delay Optimization 2. Inverter Delay Model Since the buerin proce which we perform for the eneration of a fanout tree only involve CMOS tapered buer, we are intereted in modelin the behavior of their baic component, that i a tatic CMOS inverter whoe chematic i reported in Fiure. The delay model that we ue throuhout the paper i the one preented in [3]. It i compoed of a et of analytical equation which model the output repone of a CMOS inverter takin into account the main econdorder eect preent in ubmicron procee. The input voltae and output voltae are modeled a inal with trapezoidal hape a hown in Fiure. The feedthrouh eect between input and output i conidered by mean of a capacitance C FF. We will not ive here a detailed explanation of the delay equation a thi i outide the cope of the preent paper. For a more complete treatment, the reader i referred to [3]. In Fiure we alo introduce ome denition ued here and in the ret of the paper. With k r and k f we denote the lope in [V/n] of the riin and fallin ede of a ramp hape voltae inal, repectively. Followin thi notation, k ri and k fi are the lope of the input voltae V I of the inverter, while k ro and k fo are the lope of the output voltae V O. Moreover, t pr and t pf are the propaation time of the riin and fallin ede of V O, repectively. They are

2 V DD I I 2 I N k k fi k fo k ro r I V I t pf C FF T w 2 2,l min T w,l min C L Fiure : CMOS Inverter. meaured a the dierence between the time where V O and V I are at 50% of their total win. An inverter I i identi ed by the tuple I = fm; u, where m i the ratio between the width w of the pulldown tranitor T and the minimum width w min allowed by the uer, and u i the ratio between the width of the pullup and pulldown tranitor of the inverter. With P we denote a et of proce and layout parameter of the technoloy in ue on which the delay model depend. In thi context, the equation for the delay model can be repreented a: t pr = f (P; k ri ;k fi ;C L;m;u), t pf = f 2(P; k ri ;k fi ;C L;m), k ro = f 3(P; k ri ;k fi ;C L;m;u), k fo = f 4(P; k ri ;k fi ;C L;m), where f, f 2, f 3, f 4 are nonlinear function of their arument. To automatically perform the dein of an inverter and therefore of a tapered buer, thee function have been arraned in the routine delay INV, written in C lanuae, which can perform two dierent tak: Tak Given P, k ri, k fi, C L, m, u, calculate k ro, k fo, t pr, t pf. Tak 2 Given P, k ri, k fi, C L, m, calculate u, k ro, k fo, t pr, t pf uch that t pr = t pf = t p. In Tak, delay INV imply compute function f, f 2, f 3, f 4 for the iven arument. On the other hand, in Tak 2, delay INV rt olve the non linear equation V O f (P; k ri ;k fi ;C L;m;u x)=f 2(P; k ri ;k fi ;C L;m) () for the width ratio u x and then compute the remainin function f 3 and f uer Dein Acheme of the buer ued for drivin a lare capacitive load i reported in Fiure 2. A can be een, the circuit i compoed of a cacade of N inverter each one caled up by a factor of M with repect to the previou one (the rt inverter ha alway minimum ize). A buer i then dened a a et of N inverter = fi ;I 2;:::;I N. We extend here the denition of delay and inal lope for the voltae V I and V O iven in the previou ection. A methodoloy for the determination of the optimal parameter N and M of a buer with minimum and ymmetrical propaation delay (t pr = t pf = t p) i iven in [3]. Here, after an initial tep that characterize a cacade of inverter with dierent ize for each proce in ue, peed optimized tapered buer are deined which uniformly ditribute the overall propaation delay t p alon the chain for any iven capacitive load t pr V I u u 2 u N m= m2=m m N =M Fiure 2: CMOS Tapered uer. C L. A limitation of thi buer optimization technique i that it conider only typical value for the input lope k ri and k fi. Thu, to overcome thi problem and conider arbitrary input lope value, the dein of a minimum delay buer i performed in thi work by mean of a new routine min delay UF which i capable of performin the followin tak: Tak 3 Given P, k ri, k fi and C L, nd a buer with minimum and ymmetrical propaation delay t pr = t pf = t p. Similarly, the dein of a buer with minimum area, ubject to a time contraint in term of maximum propaation delay, i accomplihed by mean of routine min area UF that perform the followin tak: Tak 4 Given P, k ri, k fi, C L, t p max, k r req, k f req, nd a buer with minimum area uch that t pr = t pf = t p t p max, k ro k r req and k fo k f req. Additional contraint on the minimum lope of the riin and fallin ede of the output inal are alo iven in order not to woren the delay of ucceive tae. oth routine min delay UF and min area UF are baed on iterative call to routine delay INV which i ued to compute the exact delay ofeach tae. Thu, the propaation delay t pr and t pf and the lope k ro and k fo at the output of a buer can be put in the form: t pr = f 5(P, k ri, k fi, C L, N, M, u N ), t pf = f 6(P, k ri, k fi, C L, N, M), k ro = f 7(P, k ri, k fi, C L, N, M, u N ), k fo = f 8(P, k ri, k fi, C L, N, M), where f 5, f 6, f 7, f 8 are non linear function, N i the the number of tae, M i the taperin factor, and u N i the width ratio of the lat inverter of buer. The value for the width ratio u of all the other tae are not pecied a they remain xed to default value. In the cae of tak 3, routine min delay UF imply calculate the parameter N and M of the minimum delay buer accordin to the technique reported in [3], and then rehape it lat tae olvin the equation f 5(P; k ri ;k fi ;C L;N;M;u N)=f 6(P; k ri ;k fi ;C L;N;M) for the variable u N, in order to have a ymmetrical output repone. On the other hand, routine min delay UF determine the minimum number of tae N min and the correpondin parameter M of a tapered buer whoe propaation delay t pr and t pf are le then a iven maximum value t p max. In particular, to nd a buer with minimum area and delay t pf t p max, min delay UF rt olve the non linear equation N t p max = f 6(P; k ri ;k fi ;C L;N min; M min) for M min uch that M min, and then calculate the variable u Nmin, olvin t pf = f 5(P; k ri ;k fi ;C L;N min; M min; u Nmin ) V O C L

3 where t pf = f 6(P; k ri ;k fi ;C L;N min; M min), to have a ymmetrical buer output repone. Finally, if the limit k r req and k f req on the output lope are pecied, function f 7 and f 8 are computed to verify that the requirement of tak 4 are met. Therefore if k ro k r req or k fo k f req, the buer with minimum area i deined olvin the equation: k r req = f 7(P; k ri ;k fi ;C L;N min;m a;u Nmin ), k f req = f 8(P; k ri ;k fi ;C L;N min;m b ) and takin M min = max (M a;m b ). 3 Fanout Optimization Like other propoed fanout optimization [2] [7] [8], our methodoloy relie on orderin ink by nondecreain required time. While retrictin the et of all the poible fanout tree, thi aumption allowed u to develop an ef cient alorithm of polynomial complexity uin dynamic prorammin. Apart from the far more accurate delay model, our optimization technique ha other important advantae. Firt of all, there i not a buer election proce where tree with ame topoloy lead to dierent olution becaue of the everal combination of ditinct buer available in a library. A a matter of fact, iven a tree topoloy, the extent of the lack between ditinct leave uniquely identie the hape and ize of the needed buer. Secondly, the treatment of ink with dierent polaritie i intrinically implemented in the fanout alorithm and doe not increae it complexity. Finally, the adoption of a preprocein tep, which i preented in Section 3.7, can inicantly reduce the number of ditinct ink to be driven o that the execution time of the alorithm i dratically hortened. 3. Denition We dene S a the et of n detination or ink where a inal v, correpondin to the root of a tree, mut be propaated. Each ink i 2 S ha arbitrary polarity pi 2f;,, capacitive load l i and required time r i. Furthermore, ink f ; 2; :::; n of S are ordered by increain required time, that i, 8i 2 [2;n,], r i, r i r i. A roup G p i;j S i then dened a the et of ink of polarity p amon the adjacent ink f i;:::; js,l i;j bein p the um of the load of it element. Each roup G p i;j can be driven by a correpondin buer p i, whoe input bp i ha required time r b p and load l i b p equal to the input capacitance of i a minimum inverter, that i the one of it rt tae. Finally, a fanout tree i dened a the et T = [ i p i of buer p i that form a tree where the leave are roup and the union of all leave equal S. Under thee denition, the fanout problem can be pecied in two dierent way dependin on the cot function to be minimized. Problem (Max required time with Min area) uild a tree T of buer that ditribute the inal v to the ink S and ) maximize the required time r v at it root, 2) minimize the area of it implementation. Problem 2 (Min area under required time contraint) uild a fanout tree T that minimize the area of it implementation uch that the required time r v at the root i r v rvmin where r vmin i a iven minimum value. Additional contraint to thee problem are the peci cation of a minimum inal voltae lope at the ink a well a the minimum lope k r v and k f v of the inal to be propaated. y 4 y 3 y 2 y level 3 level 2 level y 2 2 y y2 y3 2 y 3 Fiure 3: Example of tree topoloy with three ditinct level. 3.2 Tree Search Space In order to reduce the complexity of the alorithm only a ubet of all the poible tree mut be conidered. A cheme repreentin the topoloy of a fanout tree belonin to uch a ubet i reported in Fiure 3. In thi repreentation, ink S = f ; 2; :::; n are reported in order of increain required time alon the vertical axi, with the indication of their polarity, while buer are drawn a mall circle annotated with the number of tae they are compoed of. A tree i divided into a et of z dierent level identied by a (z)tuple of inteer (y ;:::;y z) uch that: y = < y 2 < ::: < y z < y z = n, with z n. Each level i 2 f;:::;z contain y i, y i ink, from yi to yi,. Sink with poitive polarity form the roup G y i ;y i, and are driven by a buer y i wherea thoe with neative polarity form the roup G, y i ;y i, and are driven by a buer y, i. In the cae of Fiure 3, z =3 with a (z)tuple (, 3, 9, 7). Each buer can accept a connection from one or two buer belonin to the upper level i. Dependin on the polaritie of it ink and the buer of the upper level i, it follow that a level i can alway have exactly one or two buer drivin it ink. The cla of tree that we have jut dened i very imilar to that of LTTree of type introduced in [8]. While the tree belonin to uch cla have at mot one buer in the fanout of any buer, in our cae each buer can drive or 2 buer alon with any number of leave. For thi reaon, we call our tree bipolar LTTree, or for hort ilttree. ecaue of thi property, it i apparent that each (z)tuple identie 2 z poible fanout tree. The number of poible (z)tuple of inteer correpond to the number of ditinct way of chooin z, element amon n,. Therefore, the total number of poible fanout tree i n, X z= n, z, 2 z =2 n,2 X z=0 n, z 2 z =23 n,,2 n (2) Such earch pace i reater than both that of LTTree of type (2 n,2 ), and LTTree of type 2 (2 n, )[8]. In (2) we alo aume that the rt level can have two buer which are drivin ink of dierent polaritie. It i apparent that thi ituation i in contrat with the requirement of a onerooted fanout tree like the one of Fiure 3. Neverthele, every occurrence of thi kind can be uniquely reolved introducin one or two additional inverter in cae p b or p b hold. p b, p b, = =,, repectively, o that the equation till n

4 3.3 The Alorithm for Tree Selection The election of the bet tree for the olution of Problem and 2 i performed with the alorithm tree election, detailed in Fiure 4. At the beinnin, the proce databae P i loaded and ink are ordered by nondecreain required time. Then, the load l p i;j of each poible roup Gp i;j S i precomputed. The problem i now plit in n ubproblem, identied by an index z, of ink ( z; ; n). A ubproblem z, then, i olved in n, z dierent way, indicated by an index h, of which only the bet one T z i kept in a table, hence thi i a dynamic prorammin approach. Each olution h correpond to the inertion of one or two buer and/or,, which repectively drive roup G z;h and G, z;h, and the upper level ubtree T h. Since the alorithm proceed with z from n to, T h ha already been computed and i available. For each polarity p 2 f;,, alorithm tree election load P, S, k rreq, k freq, r vmin ; Sort S by increain required time, S = =P f ; 2;:::; n; 8i2[;n], 8j 2 [i; n], 8p 2f;,, l p j i;j k=i l k pp k, where ppk i the Kronecker delta function; for z = n to f area(t z)=;r Tz =,; for h = z to n f foreach polarity p 2f;, f load = l p z;h lp T ; h if (load > 0) f r load = load required time; if (z >) then r prev = r z, ; ele f if (P roblem =)then r prev = r load ; ele if (P roblem =2)then r prev = r vmin ; p = min area UF (P, k r, k f, load, r load, r prev, k rreq, k freq ); if ( p = ;) then z p = min delay UF (P, kr, k f, load); ele p = ;; T = T h [ [, ; r T = min(r b ;r b,); if (r T >r prev) f if (area(t ) < area(t z)) then T z = T ; ele f if (r T >r Tz )then T z = T ; end tree election Fiure 4: The alorithm for the fanout tree election. the load of a buer p i calculated a the um of the precomputed quantity l p z;h and the load of ame polarity lp T h, oered by the ubtree T h. If uch load i null, the correpondin buer p i not inerted. Each buer i deined callin the routine min area UF whoe arument are ordered, and have the ame meanin, a in the denition of tak 4. Particularly, the lope k r and k f of the input inal are choen a typical value for a correct execution of the alorithm. A can be een, the maximum allowed delay time t max = r load, r prev i equal to the dierence of two term: the required time r load of the load driven by the buer, and r prev which i equal to the required time r z, of the cloet not yet buered ink z,. In thi way, buer p will have a required time equal or hiher than r z,,thu not aectin the required time of ubequent ubtree, and minimum area for it implementation. If t max i too low and no buer with uch delay i poible, then p i deined by mean of routine min delay UF, which, iven it arument dened a for tak 3, return a minimum delay buer. At thi point, the h olution T of ubproblem z i formed by the union of buer,, and ubtree T h. If the required time r T of T, dened a the minimum of the required time of and, (or the required time of one of them if the other i empty), i hiher than r prev, and it area i lower than the one of the bet current olution T z, then ubtree T take it place. On the other hand, if r T i lower than r prev, T i tored only if it required time i the hihet. The ame procedure applie to both Problem and 2 until the lat ubproblem z =, which correpond to the overall fanout problem, ha to be olved. A can be een, in uch a ituation the required time t prev take dierent value. When Problem i bein olved, then r prev = r load and buer p are deined for minimum delay. On the other hand, for Problem 2, r prev take the value r vmin, the iven minimum required time of the root that can be exploited by the routine min area UF to obtain a buer with lower area. In thi way, at the end of the proce, tree T tore the bet olution for a iven fanout problem. 3.4 Optimality for the Min Delay Problem The optimality of the alorithm for the olution of Problem i proved by the followin theorem: Theorem (Optimality for Minimum Delay) The tree election alorithm produce an optimal fanout tree for Problem over the cla of all ilttree, aumin that routine min delay UF produce optimal olution to Tak 3. Proof From the property of dynamic prorammin alorithm, the olution to Problem i optimal exactly if uch i true for the olution T z of each ubproblem z. Therefore, for what pertain to the proof of the theorem, it i ucient toprove the optimality ofa inle ubtree T z. The ret of the proof follow by induction on z. The olution of a ubproblem z, take the eneration of n,z dierent ubtree by mean of routine min delay UF and min area UF. In each cae, min area UF introduce a buer whoe required time i alway reater than the required time r prev of the hihet ink in the lower level. On the other hand, min delay UF enerate a peed optimized buer whoe delay i the mallet poible. The olution T z i then choen a the one with the hihet required time r T if every ubolution ha required time r T <r prev; otherwie the ubtree with minimum area i taken. A a reult, the olution T z i optimal becaue it will oer to the next ubproblem z,, the mallet load to drive (the input capacitance of a buer i alway that of a minimum ize inverter), with a required time uch that the required time r Tz, of the root of the ubequent ubtree T z, can be the maximum poible. 3.5 An Example of Generated Tree An example of a fanout tree enerated by the alorithm tree election i reported in Fiure 5 for a typical problem with 8 ink and a 0.5m CMOS proce. Here, the required time of ink and buer i proportional to their poition alon the yaxi. A can be een, there are three level. Level i compoed of ink ; 2; 3 and the inverter, wherea level 2 i compoed of ink 4, 5 and the inverter, 4, and level 3 i compoed of ink 6 throuh 8 and the twotae buer 6 and, 6. It i interetin to note that the required time of both buer and 6, 6 i reater

5 G,3 G6,7 4,5 G y 0 8 G 2,3 G 9, 2 y 3 G 4,5 y 2 G6,7 Fiure 5: Fanout tree for a typical problem: n = 8, z =3, y =,y 2=4,y 3=6,y 4= 8. Sink can be mered into roup durin the mere ink preprocein tep. than that of any of the ink belonin to the lower level 2. A thorouh examination of the tree eneration proce indicate that both buer have been deined to have minimum area throuh the routine min area UF, and that the minimization proce topped becaue of the contraint on the minimum lope k r req, k f req of the output inal. 3.6 Complexity The number of time we o throuh the mot neted inner loop of the tree election alorithm i equal to n(n ). Therefore the complexity of the alorithm i O(n 2 ), a we aume that both routine min delay UF and min area UF have complexity O() and perform their repective tak in contant time. When treatin ink of dierent polaritie imultaneouly, the alorithm propoed in [8] ha complexity O(d 2 max (n; p) max (np, max (n; p) :5 )), while the one propoed in [7] ha complexity O(d 3 n 2 p 2 ). Here, d i the number of dierent buer in the cell library, and n and p are the number of ink of neative and poitive polarity, repectively. A can be een, our alorithm ha maller complexity due to the direct election of buer in the choen tree. 3.7 PreProcein and PotProcein With our methodoloy ink are treated independently of their load and there are no limit impoed on their ize. Thi property uet that ink with equal or very cloe required time can be mered toether to reduce the ize of the problem with no advere impact on the nal reult. An example of application of thi technique, performed by the routine mere ink, i hown in Fiure 5. Here, the number of ditinct ink n i now reduced to only 0, 7 of them correpondin to roup G i;j of ink of the ame polarity. Since thi technique make a reat improvement in the 2 y 3 8 computation time of the alorithm at no performance cot, it i alway ued durin a preprocein tep to reduce the number of ditinct ink of a fanout problem. It ha already been pointed out that durin the execution of the alorithm tree election, the lope k r and k f of the buer input inal are choen a typical value. Thi introduce ome error, althouh mall. After the alorithm ha completed it execution and the topoloy of the bet tree i available, the delay and lope of all the buer of the tree can be recomputed, yieldin exact value, traverin the tree from root up. 4 Reult and Verication To provide experimental evidence of the eciency of the propoed methodoloy, we have applied our alorithm to the fanout optimization of a et of benchmark circuit and compared it performance with thoe of the correpondin optimization alorithm available in SIS [6]. In the SIS environment, loic ynthei and minimum delay technoloy mappin tep have been performed for each circuit, uin a cutom 0.5m CMOS proce cell library calibrated in DSMlib (Deep SubMicron library) format. In thi format, the delay of each pin of each cell i characterized by four ubet of 4 parameter each, modelin the propaation time t pr and t pf, and the tranition time t tr and t tf. The tranition time t tr and t tf are here dened a the dierence between the time where the riin and fallin ede of a inal are at 0% and 90% of their total win, repectively. The pindependent delay model i a follow: delay =(K K 2load) tranition time K 3 load K 4 where delay repreent any of the term t pr, t pf, t tr and t tf for the output pin, load denote the capacitive load of the cell, and tranition time refer to t tr or t tf for the input pin a appropriate. The choice of uch format ha been dictated by the need for an accurate delay model which include the eect of the lope of the voltae inal in the calculation of the tandard cell timin. Notice that thi delay model ha only been ued for the computation of the propaation and tranition time durin the timin analyi executed in the SIS environment. The procedure ued for the lobal optimization of a circuit i that preented in [5]. With thi methodoloy every node i viited in topoloical order and when a fanout problem i encountered a fanout tree i introduced. In [8], thi procedure i hown to be optimal with repect to delay minimization. The reult of the lobal fanout optimization performed for minimum delay on the benchmark circuit are reported in Table. The mappin eld report the delay and area of the circuit after the execution of the technoloy mappin tep for minimum delay. The econd eld report the reult of the bet fanout optimization obtained from the pectrum of alorithm available in SIS (balanced tree, LTtree, combinational merin, twolevel tree, topdown traveral). The third eld report the reult obtained by optimizin the circuit with the propoed continuou methodoloy. Finally, the lat two column report the performance comparion between the two approache. Here, it mut be pointed out that while the rt approach elect, for each node, the bet olution amon thoe produced by each of the conidered alorithm preent in SIS, the continuou approach, in all cae, perform the optimization in the ame way by mean of the tree election at all. In fact, here the concept of tranition time i not contemplated

6 mappin i continuou % reduction circuit delay area delay area cpu delay area cpu delay area 9ymml C C C C C C alu alu apex apex comp dalu k miex rot x x averae Table : Reult for maximum peed fanout optimization applied to entire circuit. Delay i the dierence in nanoecond between the required time at the rt ink and the required time at the root, and cpu i the runtime in econd on a SUNUltra 2. The tree area i iven in 0 3 m 2. alorithm. It mut be pointed out that every buer enerated by the tree election alorithm wa rounded up to the cloet element in a et of 20 predeined buer of dierent trenth which were available in the cell library. Here, intead of eneratin a new cell for each buer, we have opted for a xed number of buer, available to the ame extent to all fanout optimization alorithm, in order to make a more realitic and fair comparion. A can be een, with the continuou approach every circuit i optimized in horter time and the reultin implementation ha lower delay and lower area. Particularly, the typical reduction i 7.% in delay and 28% in area, while the computation time i typically one order of manitude lower. 5 Concluion and Future Work In thi paper we have preented a new methodoloy for the olution of the fanout problem baed on a continuou delay optimization technique. An accurate tranitorlevel delay model i ued to dein delay and area optimized buer that perfectly t the lack between the leave of the fanout tree they et up, reultin in conitent area avin. Our approach i particularly eective for circuit developed with ubmicron CMOS procee where pecial care mut be taken in the evaluation of delay time and inal lope eect. A polynomial time alorithm which ue dynamic prorammin for the election of the bet poible fanout tree ha alo been preented. The hih accuracy of it delay model, the independence from the technoloy in ue, the wide tree earch pace, and the fat runtime make the alorithm very convenient to be ued in CAD tool for the automatic ynthei of diital circuit. When deep ubmicron technoloie are ued, in everal cae the reitance of the interconnection cannot be nelected and the performance of a fanout optimization technique can be further improved only with a potplacement approach where performancedriven fanout and routin optimization problem are olved imultaneouly. We are currently invetiatin uch an approach. Reference [] T. Aoki, M. Murakata, T. Mituhahi, and N. Goto. Fanouttree retructurin alorithm for potplacement timin optimization. In ASPDAC, pae 47{422, Auut 995. [2] C. L. erman, J. L. Carter, and K. F. Day. The fanout problem: From theory to practice. In C. L. Seitz, editor, Proc. of the 989 Decennial Caltech Conference, pae 69{99. MIT pre, March 989. [3] P. Cocchini, G. Piccinini, and M. Zamboni. A comprehenive ubmicrometer MOST delay model and it application to CMOS buer. IEEE J. SolidState Circuit, 32(8):254{262, Auut 997. [4] M. C. Golumbic. Combinatorial merin. IEEE Tranaction on Computer, 25:64{67, November 976. [5] H. J. Hoover, M. M. Klawe, and N. J. Pippiner. oudin fanout in loical network. Journal of the Aociation for Computin Machinery, 3():3{8, January 984. [6] E. M. Sentovich, K. J. Sinh, C. Moon, H. Savoj, R. K. rayton, and A. SaniovanniVincentelli. Sequential circuit dein uin ynthei and optimization. In Proc. of ICCD, pae 328{333, October 992. [7] K. J. Sinh and A. SaniovanniVincentelli. A heuritic alorithm for the fanout problem. In Proceedin of the 27th DAC, pae 357{360, June 990. [8] H. Touati. Performanceoriented technoloy mappin. PhD thei, Univerity of California, erkeley, November 990. Technical Report UC/ERL M90/09. [9] H. Vaihnav and M. Pedram. Routabilitydriven fanout optimization. In Proceedin of the 30th DAC, pae 230{235, June 993.

Clustering Methods without Given Number of Clusters

Clustering Methods without Given Number of Clusters Clutering Method without Given Number of Cluter Peng Xu, Fei Liu Introduction A we now, mean method i a very effective algorithm of clutering. It mot powerful feature i the calability and implicity. However,

More information

Social Studies 201 Notes for March 18, 2005

Social Studies 201 Notes for March 18, 2005 1 Social Studie 201 Note for March 18, 2005 Etimation of a mean, mall ample ize Section 8.4, p. 501. When a reearcher ha only a mall ample ize available, the central limit theorem doe not apply to the

More information

Social Studies 201 Notes for November 14, 2003

Social Studies 201 Notes for November 14, 2003 1 Social Studie 201 Note for November 14, 2003 Etimation of a mean, mall ample ize Section 8.4, p. 501. When a reearcher ha only a mall ample ize available, the central limit theorem doe not apply to the

More information

Yoram Gat. Technical report No. 548, March Abstract. A classier is said to have good generalization ability if it performs on

Yoram Gat. Technical report No. 548, March Abstract. A classier is said to have good generalization ability if it performs on A bound concerning the generalization ability of a certain cla of learning algorithm Yoram Gat Univerity of California, Berkeley Technical report No. 548, March 999 Abtract A claier i aid to have good

More information

Problem Set 8 Solutions

Problem Set 8 Solutions Deign and Analyi of Algorithm April 29, 2015 Maachuett Intitute of Technology 6.046J/18.410J Prof. Erik Demaine, Srini Devada, and Nancy Lynch Problem Set 8 Solution Problem Set 8 Solution Thi problem

More information

Preemptive scheduling on a small number of hierarchical machines

Preemptive scheduling on a small number of hierarchical machines Available online at www.ciencedirect.com Information and Computation 06 (008) 60 619 www.elevier.com/locate/ic Preemptive cheduling on a mall number of hierarchical machine György Dóa a, Leah Eptein b,

More information

CHAPTER 8 OBSERVER BASED REDUCED ORDER CONTROLLER DESIGN FOR LARGE SCALE LINEAR DISCRETE-TIME CONTROL SYSTEMS

CHAPTER 8 OBSERVER BASED REDUCED ORDER CONTROLLER DESIGN FOR LARGE SCALE LINEAR DISCRETE-TIME CONTROL SYSTEMS CHAPTER 8 OBSERVER BASED REDUCED ORDER CONTROLLER DESIGN FOR LARGE SCALE LINEAR DISCRETE-TIME CONTROL SYSTEMS 8.1 INTRODUCTION 8.2 REDUCED ORDER MODEL DESIGN FOR LINEAR DISCRETE-TIME CONTROL SYSTEMS 8.3

More information

Chapter 2 Sampling and Quantization. In order to investigate sampling and quantization, the difference between analog

Chapter 2 Sampling and Quantization. In order to investigate sampling and quantization, the difference between analog Chapter Sampling and Quantization.1 Analog and Digital Signal In order to invetigate ampling and quantization, the difference between analog and digital ignal mut be undertood. Analog ignal conit of continuou

More information

Lecture 21. The Lovasz splitting-off lemma Topics in Combinatorial Optimization April 29th, 2004

Lecture 21. The Lovasz splitting-off lemma Topics in Combinatorial Optimization April 29th, 2004 18.997 Topic in Combinatorial Optimization April 29th, 2004 Lecture 21 Lecturer: Michel X. Goeman Scribe: Mohammad Mahdian 1 The Lovaz plitting-off lemma Lovaz plitting-off lemma tate the following. Theorem

More information

Input Impedance and Transfer Function of N-Stage Cockcroft-Walton Voltage Multiplier

Input Impedance and Transfer Function of N-Stage Cockcroft-Walton Voltage Multiplier Input Impedance and Tranfer Function of N-Stae ockcroft-walton Voltae ltiplier Xavier Le Polozec Abtract Thi paper provide theoretical prediction of the differential input impedance, tranfer function,

More information

Removal of water layer multiples and peg-legs by wave-equation approach

Removal of water layer multiples and peg-legs by wave-equation approach Removal of water layer multiple and pe-le by wave-equation approach Dmitri Lokhtanov* *On abbatical from Nork Hydro Reearch Centre, Beren, Norway ABSTRACT Removal of water-layer multiple and pe-le i till

More information

Consideration of Slenderness Effect in Columns

Consideration of Slenderness Effect in Columns Conideration of Slenderne Effect in Column Read Ainment Text: Section 9.1; Code and Commentary: 10.10, 10.11 General Short Column - Slender Column - Strenth can be computed by coniderin only the column

More information

ON THE APPROXIMATION ERROR IN HIGH DIMENSIONAL MODEL REPRESENTATION. Xiaoqun Wang

ON THE APPROXIMATION ERROR IN HIGH DIMENSIONAL MODEL REPRESENTATION. Xiaoqun Wang Proceeding of the 2008 Winter Simulation Conference S. J. Maon, R. R. Hill, L. Mönch, O. Roe, T. Jefferon, J. W. Fowler ed. ON THE APPROXIMATION ERROR IN HIGH DIMENSIONAL MODEL REPRESENTATION Xiaoqun Wang

More information

Sampling and the Discrete Fourier Transform

Sampling and the Discrete Fourier Transform Sampling and the Dicrete Fourier Tranform Sampling Method Sampling i mot commonly done with two device, the ample-and-hold (S/H) and the analog-to-digital-converter (ADC) The S/H acquire a CT ignal at

More information

Optimal Coordination of Samples in Business Surveys

Optimal Coordination of Samples in Business Surveys Paper preented at the ICES-III, June 8-, 007, Montreal, Quebec, Canada Optimal Coordination of Sample in Buine Survey enka Mach, Ioana Şchiopu-Kratina, Philip T Rei, Jean-Marc Fillion Statitic Canada New

More information

PROJECT ON MACHINE DESIGN I LESSON 1

PROJECT ON MACHINE DESIGN I LESSON 1 PROJECT ON MACHINE DESIGN I LESSON 1 Your dein tak will be to dein the power crew mechanim. The coure main objective i to familiarize you with typical calculation of element of machine (therefore, ome

More information

EE 508 Lecture 16. Filter Transformations. Lowpass to Bandpass Lowpass to Highpass Lowpass to Band-reject

EE 508 Lecture 16. Filter Transformations. Lowpass to Bandpass Lowpass to Highpass Lowpass to Band-reject EE 508 Lecture 6 Filter Tranformation Lowpa to Bandpa Lowpa to Highpa Lowpa to Band-reject Review from Lat Time Theorem: If the perimeter variation and contact reitance are neglected, the tandard deviation

More information

A Constraint Propagation Algorithm for Determining the Stability Margin. The paper addresses the stability margin assessment for linear systems

A Constraint Propagation Algorithm for Determining the Stability Margin. The paper addresses the stability margin assessment for linear systems A Contraint Propagation Algorithm for Determining the Stability Margin of Linear Parameter Circuit and Sytem Lubomir Kolev and Simona Filipova-Petrakieva Abtract The paper addree the tability margin aement

More information

STOCHASTIC GENERALIZED TRANSPORTATION PROBLEM WITH DISCRETE DISTRIBUTION OF DEMAND

STOCHASTIC GENERALIZED TRANSPORTATION PROBLEM WITH DISCRETE DISTRIBUTION OF DEMAND OPERATIONS RESEARCH AND DECISIONS No. 4 203 DOI: 0.5277/ord30402 Marcin ANHOLCER STOCHASTIC GENERALIZED TRANSPORTATION PROBLEM WITH DISCRETE DISTRIBUTION OF DEMAND The generalized tranportation problem

More information

Gain and Phase Margins Based Delay Dependent Stability Analysis of Two- Area LFC System with Communication Delays

Gain and Phase Margins Based Delay Dependent Stability Analysis of Two- Area LFC System with Communication Delays Gain and Phae Margin Baed Delay Dependent Stability Analyi of Two- Area LFC Sytem with Communication Delay Şahin Sönmez and Saffet Ayaun Department of Electrical Engineering, Niğde Ömer Halidemir Univerity,

More information

Advanced D-Partitioning Analysis and its Comparison with the Kharitonov s Theorem Assessment

Advanced D-Partitioning Analysis and its Comparison with the Kharitonov s Theorem Assessment Journal of Multidiciplinary Engineering Science and Technology (JMEST) ISSN: 59- Vol. Iue, January - 5 Advanced D-Partitioning Analyi and it Comparion with the haritonov Theorem Aement amen M. Yanev Profeor,

More information

A guide to value added key stage 1 to 2 in 2015 school performance tables

A guide to value added key stage 1 to 2 in 2015 school performance tables A uide to value added key tae 1 to 2 in 2015 chool performance table February 2016 Content Summary Interpretin chool value added core 4 What i value added? 5 Calculatin pupil value added core 6 Calculatin

More information

Tuning of High-Power Antenna Resonances by Appropriately Reactive Sources

Tuning of High-Power Antenna Resonances by Appropriately Reactive Sources Senor and Simulation Note Note 50 Augut 005 Tuning of High-Power Antenna Reonance by Appropriately Reactive Source Carl E. Baum Univerity of New Mexico Department of Electrical and Computer Engineering

More information

Parameter Analysis and Design of A 1.5GHz, 15mw Low Noise Amplifier

Parameter Analysis and Design of A 1.5GHz, 15mw Low Noise Amplifier 0 International Conference on Circuit, Sytem and Simulation IPCSIT vol.7 (0 (0 IACSIT Pre, Sinapore Parameter Analyi and Dein of A.5GHz, 5mw Low Noie Amplifier Dan Zhan, Wei Wu Collee of Science, Shanhai

More information

Evolutionary Algorithms Based Fixed Order Robust Controller Design and Robustness Performance Analysis

Evolutionary Algorithms Based Fixed Order Robust Controller Design and Robustness Performance Analysis Proceeding of 01 4th International Conference on Machine Learning and Computing IPCSIT vol. 5 (01) (01) IACSIT Pre, Singapore Evolutionary Algorithm Baed Fixed Order Robut Controller Deign and Robutne

More information

Control Systems Analysis and Design by the Root-Locus Method

Control Systems Analysis and Design by the Root-Locus Method 6 Control Sytem Analyi and Deign by the Root-Locu Method 6 1 INTRODUCTION The baic characteritic of the tranient repone of a cloed-loop ytem i cloely related to the location of the cloed-loop pole. If

More information

Avoiding Forbidden Submatrices by Row Deletions

Avoiding Forbidden Submatrices by Row Deletions Avoiding Forbidden Submatrice by Row Deletion Sebatian Wernicke, Jochen Alber, Jen Gramm, Jiong Guo, and Rolf Niedermeier Wilhelm-Schickard-Intitut für Informatik, niverität Tübingen, Sand 13, D-72076

More information

Multicolor Sunflowers

Multicolor Sunflowers Multicolor Sunflower Dhruv Mubayi Lujia Wang October 19, 2017 Abtract A unflower i a collection of ditinct et uch that the interection of any two of them i the ame a the common interection C of all of

More information

SMALL-SIGNAL STABILITY ASSESSMENT OF THE EUROPEAN POWER SYSTEM BASED ON ADVANCED NEURAL NETWORK METHOD

SMALL-SIGNAL STABILITY ASSESSMENT OF THE EUROPEAN POWER SYSTEM BASED ON ADVANCED NEURAL NETWORK METHOD SMALL-SIGNAL STABILITY ASSESSMENT OF THE EUROPEAN POWER SYSTEM BASED ON ADVANCED NEURAL NETWORK METHOD S.P. Teeuwen, I. Erlich U. Bachmann Univerity of Duiburg, Germany Department of Electrical Power Sytem

More information

Real Sources (Secondary Sources) Phantom Source (Primary source) LS P. h rl. h rr. h ll. h lr. h pl. h pr

Real Sources (Secondary Sources) Phantom Source (Primary source) LS P. h rl. h rr. h ll. h lr. h pl. h pr Ecient frequency domain ltered-x realization of phantom ource iet C.W. ommen, Ronald M. Aart, Alexander W.M. Mathijen, John Gara, Haiyan He Abtract A phantom ound ource i a virtual ound image which can

More information

[Saxena, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

[Saxena, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY [Saena, (9): September, 0] ISSN: 77-9655 Impact Factor:.85 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Contant Stre Accelerated Life Teting Uing Rayleigh Geometric Proce

More information

7.2 INVERSE TRANSFORMS AND TRANSFORMS OF DERIVATIVES 281

7.2 INVERSE TRANSFORMS AND TRANSFORMS OF DERIVATIVES 281 72 INVERSE TRANSFORMS AND TRANSFORMS OF DERIVATIVES 28 and i 2 Show how Euler formula (page 33) can then be ued to deduce the reult a ( a) 2 b 2 {e at co bt} {e at in bt} b ( a) 2 b 2 5 Under what condition

More information

Suggested Answers To Exercises. estimates variability in a sampling distribution of random means. About 68% of means fall

Suggested Answers To Exercises. estimates variability in a sampling distribution of random means. About 68% of means fall Beyond Significance Teting ( nd Edition), Rex B. Kline Suggeted Anwer To Exercie Chapter. The tatitic meaure variability among core at the cae level. In a normal ditribution, about 68% of the core fall

More information

APPLICATION OF THE SINGLE IMPACT MICROINDENTATION FOR NON- DESTRUCTIVE TESTING OF THE FRACTURE TOUGHNESS OF NONMETALLIC AND POLYMERIC MATERIALS

APPLICATION OF THE SINGLE IMPACT MICROINDENTATION FOR NON- DESTRUCTIVE TESTING OF THE FRACTURE TOUGHNESS OF NONMETALLIC AND POLYMERIC MATERIALS APPLICATION OF THE SINGLE IMPACT MICROINDENTATION FOR NON- DESTRUCTIVE TESTING OF THE FRACTURE TOUGHNESS OF NONMETALLIC AND POLYMERIC MATERIALS REN A. P. INSTITUTE OF APPLIED PHYSICS OF THE NATIONAL ACADEMY

More information

Codes Correcting Two Deletions

Codes Correcting Two Deletions 1 Code Correcting Two Deletion Ryan Gabry and Frederic Sala Spawar Sytem Center Univerity of California, Lo Angele ryan.gabry@navy.mil fredala@ucla.edu Abtract In thi work, we invetigate the problem of

More information

Source slideplayer.com/fundamentals of Analytical Chemistry, F.J. Holler, S.R.Crouch. Chapter 6: Random Errors in Chemical Analysis

Source slideplayer.com/fundamentals of Analytical Chemistry, F.J. Holler, S.R.Crouch. Chapter 6: Random Errors in Chemical Analysis Source lideplayer.com/fundamental of Analytical Chemitry, F.J. Holler, S.R.Crouch Chapter 6: Random Error in Chemical Analyi Random error are preent in every meaurement no matter how careful the experimenter.

More information

arxiv: v2 [nucl-th] 3 May 2018

arxiv: v2 [nucl-th] 3 May 2018 DAMTP-207-44 An Alpha Particle Model for Carbon-2 J. I. Rawlinon arxiv:72.05658v2 [nucl-th] 3 May 208 Department of Applied Mathematic and Theoretical Phyic, Univerity of Cambridge, Wilberforce Road, Cambridge

More information

GNSS Solutions: What is the carrier phase measurement? How is it generated in GNSS receivers? Simply put, the carrier phase

GNSS Solutions: What is the carrier phase measurement? How is it generated in GNSS receivers? Simply put, the carrier phase GNSS Solution: Carrier phae and it meaurement for GNSS GNSS Solution i a regular column featuring quetion and anwer about technical apect of GNSS. Reader are invited to end their quetion to the columnit,

More information

3.1 The Revised Simplex Algorithm. 3 Computational considerations. Thus, we work with the following tableau. Basic observations = CARRY. ... m.

3.1 The Revised Simplex Algorithm. 3 Computational considerations. Thus, we work with the following tableau. Basic observations = CARRY. ... m. 3 Computational conideration In what follow, we analyze the complexity of the Simplex algorithm more in detail For thi purpoe, we focu on the update proce in each iteration of thi procedure Clearly, ince,

More information

CONGESTION control is a key functionality in modern

CONGESTION control is a key functionality in modern IEEE TRANSACTIONS ON INFORMATION TEORY, VOL. X, NO. X, XXXXXXX 2008 On the Connection-Level Stability of Congetion-Controlled Communication Network Xiaojun Lin, Member, IEEE, Ne B. Shroff, Fellow, IEEE,

More information

EFFECTS OF SOIL LAYER CONSTRUCTION ON CHARACTERISTIC PERIODS OF RESPONSE SPECTRA

EFFECTS OF SOIL LAYER CONSTRUCTION ON CHARACTERISTIC PERIODS OF RESPONSE SPECTRA 13 th World onference on Earthquake Enineerin Vancouver,.., anada uut 1-6, 2004 Paper No. 2729 EFFETS OF SOIL LYER ONSTRUTION ON HRTERISTI PERIODS OF RESPONSE SPETR O Jin-Shan 1, LI Xiu-Lin 2, LIU Hon-Shuai

More information

If Y is normally Distributed, then and 2 Y Y 10. σ σ

If Y is normally Distributed, then and 2 Y Y 10. σ σ ull Hypothei Significance Teting V. APS 50 Lecture ote. B. Dudek. ot for General Ditribution. Cla Member Uage Only. Chi-Square and F-Ditribution, and Diperion Tet Recall from Chapter 4 material on: ( )

More information

Behavioral Modeling of Transmission Line Channels via Linear Transformations

Behavioral Modeling of Transmission Line Channels via Linear Transformations Behavioral Modeling of Tranmiion Line Channel via Linear Tranformation Albert Vareljian albertv@ieeeorg Member, IEEE, Canada Abtract An approach baed on the linear tranformation of network port variable

More information

Unavoidable Cycles in Polynomial-Based Time-Invariant LDPC Convolutional Codes

Unavoidable Cycles in Polynomial-Based Time-Invariant LDPC Convolutional Codes European Wirele, April 7-9,, Vienna, Autria ISBN 978--87-4-9 VE VERLAG GMBH Unavoidable Cycle in Polynomial-Baed Time-Invariant LPC Convolutional Code Hua Zhou and Norbert Goertz Intitute of Telecommunication

More information

Digital Control System

Digital Control System Digital Control Sytem - A D D A Micro ADC DAC Proceor Correction Element Proce Clock Meaurement A: Analog D: Digital Continuou Controller and Digital Control Rt - c Plant yt Continuou Controller Digital

More information

Design of RF CMOS Low Noise Amplifiers Using a Current Based MOSFET Model

Design of RF CMOS Low Noise Amplifiers Using a Current Based MOSFET Model Dein of F CMO ow Noie Amplifier Uin a Current Baed MOFET Model Virínia Helena Varotto Baroncini Centro Federal de Educação Tecnolóica do Paraná Av. Monteiro obato /n km 4 846- Ponta Groa P - Brazil Phone:

More information

Math Skills. Scientific Notation. Uncertainty in Measurements. Appendix A5 SKILLS HANDBOOK

Math Skills. Scientific Notation. Uncertainty in Measurements. Appendix A5 SKILLS HANDBOOK ppendix 5 Scientific Notation It i difficult to work with very large or very mall number when they are written in common decimal notation. Uually it i poible to accommodate uch number by changing the SI

More information

84 ZHANG Jing-Shang Vol. 39 of which would emit 5 He rather than 3 He. 5 He i untable and eparated into n + pontaneouly, which can alo be treated a if

84 ZHANG Jing-Shang Vol. 39 of which would emit 5 He rather than 3 He. 5 He i untable and eparated into n + pontaneouly, which can alo be treated a if Commun. Theor. Phy. (Beijing, China) 39 (003) pp. 83{88 c International Academic Publiher Vol. 39, No. 1, January 15, 003 Theoretical Analyi of Neutron Double-Dierential Cro Section of n+ 11 B at 14. MeV

More information

NCAAPMT Calculus Challenge Challenge #3 Due: October 26, 2011

NCAAPMT Calculus Challenge Challenge #3 Due: October 26, 2011 NCAAPMT Calculu Challenge 011 01 Challenge #3 Due: October 6, 011 A Model of Traffic Flow Everyone ha at ome time been on a multi-lane highway and encountered road contruction that required the traffic

More information

EE 508 Lecture 16. Filter Transformations. Lowpass to Bandpass Lowpass to Highpass Lowpass to Band-reject

EE 508 Lecture 16. Filter Transformations. Lowpass to Bandpass Lowpass to Highpass Lowpass to Band-reject EE 508 Lecture 6 Filter Tranformation Lowpa to Bandpa Lowpa to Highpa Lowpa to Band-reject Review from Lat Time Theorem: If the perimeter variation and contact reitance are neglected, the tandard deviation

More information

Thermal Resistance Measurements and Thermal Transient Analysis of Power Chip Slug-Up and Slug-Down Mounted on HDI Substrate

Thermal Resistance Measurements and Thermal Transient Analysis of Power Chip Slug-Up and Slug-Down Mounted on HDI Substrate Intl Journal of Microcircuit and Electronic Packaging Thermal Reitance Meaurement and Thermal Tranient Analyi of Power Chip Slug-Up and Slug-Down Mounted on HDI Subtrate Claudio Sartori Magneti Marelli

More information

μ + = σ = D 4 σ = D 3 σ = σ = All units in parts (a) and (b) are in V. (1) x chart: Center = μ = 0.75 UCL =

μ + = σ = D 4 σ = D 3 σ = σ = All units in parts (a) and (b) are in V. (1) x chart: Center = μ = 0.75 UCL = Our online Tutor are available 4*7 to provide Help with Proce control ytem Homework/Aignment or a long term Graduate/Undergraduate Proce control ytem Project. Our Tutor being experienced and proficient

More information

Lecture 10 Filtering: Applied Concepts

Lecture 10 Filtering: Applied Concepts Lecture Filtering: Applied Concept In the previou two lecture, you have learned about finite-impule-repone (FIR) and infinite-impule-repone (IIR) filter. In thee lecture, we introduced the concept of filtering

More information

SERIES COMPENSATION: VOLTAGE COMPENSATION USING DVR (Lectures 41-48)

SERIES COMPENSATION: VOLTAGE COMPENSATION USING DVR (Lectures 41-48) Chapter 5 SERIES COMPENSATION: VOLTAGE COMPENSATION USING DVR (Lecture 41-48) 5.1 Introduction Power ytem hould enure good quality of electric power upply, which mean voltage and current waveform hould

More information

G048 Resolution Analysis of Seismic Imaging SUMMARY

G048 Resolution Analysis of Seismic Imaging SUMMARY G048 Reolution nalyi of Seimic Imain R.S. Wu (Univerity of California), X.B. Xie (Univerity of California, Santa Cruz), M. Fehler (Lo lamo National Laboratory) & L.J. Huan (Lo lamo National Laboratory)

More information

Per Unit Analysis. Single-Phase systems

Per Unit Analysis. Single-Phase systems Per Unit Analyi The per unit method of power ytem analyi eliminate the need for converion of voltae, current and impedance acro every tranformer in the circuit. n addition, the need to tranform from 3-

More information

Predicting the Performance of Teams of Bounded Rational Decision-makers Using a Markov Chain Model

Predicting the Performance of Teams of Bounded Rational Decision-makers Using a Markov Chain Model The InTITuTe for ytem reearch Ir TechnIcal report 2013-14 Predicting the Performance of Team of Bounded Rational Deciion-maer Uing a Marov Chain Model Jeffrey Herrmann Ir develop, applie and teache advanced

More information

arxiv: v1 [math.mg] 25 Aug 2011

arxiv: v1 [math.mg] 25 Aug 2011 ABSORBING ANGLES, STEINER MINIMAL TREES, AND ANTIPODALITY HORST MARTINI, KONRAD J. SWANEPOEL, AND P. OLOFF DE WET arxiv:08.5046v [math.mg] 25 Aug 20 Abtract. We give a new proof that a tar {op i : i =,...,

More information

Chapter 4. The Laplace Transform Method

Chapter 4. The Laplace Transform Method Chapter 4. The Laplace Tranform Method The Laplace Tranform i a tranformation, meaning that it change a function into a new function. Actually, it i a linear tranformation, becaue it convert a linear combination

More information

CHAPTER 4 DESIGN OF STATE FEEDBACK CONTROLLERS AND STATE OBSERVERS USING REDUCED ORDER MODEL

CHAPTER 4 DESIGN OF STATE FEEDBACK CONTROLLERS AND STATE OBSERVERS USING REDUCED ORDER MODEL 98 CHAPTER DESIGN OF STATE FEEDBACK CONTROLLERS AND STATE OBSERVERS USING REDUCED ORDER MODEL INTRODUCTION The deign of ytem uing tate pace model for the deign i called a modern control deign and it i

More information

Determination of the local contrast of interference fringe patterns using continuous wavelet transform

Determination of the local contrast of interference fringe patterns using continuous wavelet transform Determination of the local contrat of interference fringe pattern uing continuou wavelet tranform Jong Kwang Hyok, Kim Chol Su Intitute of Optic, Department of Phyic, Kim Il Sung Univerity, Pyongyang,

More information

The Impact of Imperfect Scheduling on Cross-Layer Rate. Control in Multihop Wireless Networks

The Impact of Imperfect Scheduling on Cross-Layer Rate. Control in Multihop Wireless Networks The mpact of mperfect Scheduling on Cro-Layer Rate Control in Multihop Wirele Network Xiaojun Lin and Ne B. Shroff Center for Wirele Sytem and Application (CWSA) School of Electrical and Computer Engineering,

More information

Advanced methods for ODEs and DAEs

Advanced methods for ODEs and DAEs Lecture : Implicit Runge Kutta method Bojana Roić, 9. April 7 What you need to know before thi lecture numerical integration: Lecture from ODE iterative olver: Lecture 5-8 from ODE 9. April 7 Bojana Roić

More information

Lecture 8: Period Finding: Simon s Problem over Z N

Lecture 8: Period Finding: Simon s Problem over Z N Quantum Computation (CMU 8-859BB, Fall 205) Lecture 8: Period Finding: Simon Problem over Z October 5, 205 Lecturer: John Wright Scribe: icola Rech Problem A mentioned previouly, period finding i a rephraing

More information

FUNDAMENTALS OF POWER SYSTEMS

FUNDAMENTALS OF POWER SYSTEMS 1 FUNDAMENTALS OF POWER SYSTEMS 1 Chapter FUNDAMENTALS OF POWER SYSTEMS INTRODUCTION The three baic element of electrical engineering are reitor, inductor and capacitor. The reitor conume ohmic or diipative

More information

An Inequality for Nonnegative Matrices and the Inverse Eigenvalue Problem

An Inequality for Nonnegative Matrices and the Inverse Eigenvalue Problem An Inequality for Nonnegative Matrice and the Invere Eigenvalue Problem Robert Ream Program in Mathematical Science The Univerity of Texa at Dalla Box 83688, Richardon, Texa 7583-688 Abtract We preent

More information

STRAIN LIMITS FOR PLASTIC HINGE REGIONS OF CONCRETE REINFORCED COLUMNS

STRAIN LIMITS FOR PLASTIC HINGE REGIONS OF CONCRETE REINFORCED COLUMNS 13 th World Conerence on Earthquake Engineering Vancouver, B.C., Canada Augut 1-6, 004 Paper No. 589 STRAIN LIMITS FOR PLASTIC HINGE REGIONS OF CONCRETE REINFORCED COLUMNS Rebeccah RUSSELL 1, Adolo MATAMOROS,

More information

Convex Hulls of Curves Sam Burton

Convex Hulls of Curves Sam Burton Convex Hull of Curve Sam Burton 1 Introduction Thi paper will primarily be concerned with determining the face of convex hull of curve of the form C = {(t, t a, t b ) t [ 1, 1]}, a < b N in R 3. We hall

More information

Efficient Methods of Doppler Processing for Coexisting Land and Weather Clutter

Efficient Methods of Doppler Processing for Coexisting Land and Weather Clutter Efficient Method of Doppler Proceing for Coexiting Land and Weather Clutter Ça gatay Candan and A Özgür Yılmaz Middle Eat Technical Univerity METU) Ankara, Turkey ccandan@metuedutr, aoyilmaz@metuedutr

More information

Observing Condensations in Atomic Fermi Gases

Observing Condensations in Atomic Fermi Gases Oberving Condenation in Atomic Fermi Gae (Term Eay for 498ESM, Spring 2004) Ruqing Xu Department of Phyic, UIUC (May 6, 2004) Abtract Oberving condenation in a ga of fermion ha been another intereting

More information

a = f s,max /m = s g. 4. We first analyze the forces on the pig of mass m. The incline angle is.

a = f s,max /m = s g. 4. We first analyze the forces on the pig of mass m. The incline angle is. Chapter 6 1. The greatet deceleration (of magnitude a) i provided by the maximum friction force (Eq. 6-1, with = mg in thi cae). Uing ewton econd law, we find a = f,max /m = g. Eq. -16 then give the hortet

More information

PI control system design for Electromagnetic Molding Machine based on Linear Programing

PI control system design for Electromagnetic Molding Machine based on Linear Programing PI control ytem deign for Electromagnetic Molding Machine baed on Linear Programing Takayuki Ihizaki, Kenji Kahima, Jun-ichi Imura*, Atuhi Katoh and Hirohi Morita** Abtract In thi paper, we deign a PI

More information

BUBBLES RISING IN AN INCLINED TWO-DIMENSIONAL TUBE AND JETS FALLING ALONG A WALL

BUBBLES RISING IN AN INCLINED TWO-DIMENSIONAL TUBE AND JETS FALLING ALONG A WALL J. Autral. Math. Soc. Ser. B 4(999), 332 349 BUBBLES RISING IN AN INCLINED TWO-DIMENSIONAL TUBE AND JETS FALLING ALONG A WALL J. LEE and J.-M. VANDEN-BROECK 2 (Received 22 April 995; revied 23 April 996)

More information

A Study on Simulating Convolutional Codes and Turbo Codes

A Study on Simulating Convolutional Codes and Turbo Codes A Study on Simulating Convolutional Code and Turbo Code Final Report By Daniel Chang July 27, 2001 Advior: Dr. P. Kinman Executive Summary Thi project include the deign of imulation of everal convolutional

More information

Theoretical Computer Science. Optimal algorithms for online scheduling with bounded rearrangement at the end

Theoretical Computer Science. Optimal algorithms for online scheduling with bounded rearrangement at the end Theoretical Computer Science 4 (0) 669 678 Content lit available at SciVere ScienceDirect Theoretical Computer Science journal homepage: www.elevier.com/locate/tc Optimal algorithm for online cheduling

More information

Tarzan s Dilemma for Elliptic and Cycloidal Motion

Tarzan s Dilemma for Elliptic and Cycloidal Motion Tarzan Dilemma or Elliptic and Cycloidal Motion Yuji Kajiyama National Intitute o Technology, Yuge College, Shimo-Yuge 000, Yuge, Kamijima, Ehime, 794-593, Japan kajiyama@gen.yuge.ac.jp btract-in thi paper,

More information

To appear in International Journal of Numerical Methods in Fluids in Stability analysis of numerical interface conditions in uid-structure therm

To appear in International Journal of Numerical Methods in Fluids in Stability analysis of numerical interface conditions in uid-structure therm To appear in International Journal of Numerical Method in Fluid in 997. Stability analyi of numerical interface condition in uid-tructure thermal analyi M. B. Gile Oxford Univerity Computing Laboratory

More information

White Rose Research Online URL for this paper: Version: Accepted Version

White Rose Research Online URL for this paper:   Version: Accepted Version Thi i a repoitory copy of Identification of nonlinear ytem with non-peritent excitation uing an iterative forward orthogonal leat quare regreion algorithm. White Roe Reearch Online URL for thi paper: http://eprint.whiteroe.ac.uk/107314/

More information

Name Section Lab on Motion: Measuring Time and Gravity with a Pendulum Introduction: Have you ever considered what the word time means?

Name Section Lab on Motion: Measuring Time and Gravity with a Pendulum Introduction: Have you ever considered what the word time means? Name Section Lab on Motion: Meaurin Time and Gravity with a Pendulum Introduction: Have you ever conidered what the word time mean? For example what i the meanin of when we ay it take two minute to boil

More information

A BATCH-ARRIVAL QUEUE WITH MULTIPLE SERVERS AND FUZZY PARAMETERS: PARAMETRIC PROGRAMMING APPROACH

A BATCH-ARRIVAL QUEUE WITH MULTIPLE SERVERS AND FUZZY PARAMETERS: PARAMETRIC PROGRAMMING APPROACH Mathematical and Computational Application Vol. 11 No. pp. 181-191 006. Aociation for Scientific Reearch A BATCH-ARRIVA QEE WITH MTIPE SERVERS AND FZZY PARAMETERS: PARAMETRIC PROGRAMMING APPROACH Jau-Chuan

More information

Introduction to Laplace Transform Techniques in Circuit Analysis

Introduction to Laplace Transform Techniques in Circuit Analysis Unit 6 Introduction to Laplace Tranform Technique in Circuit Analyi In thi unit we conider the application of Laplace Tranform to circuit analyi. A relevant dicuion of the one-ided Laplace tranform i found

More information

Nonlinear Single-Particle Dynamics in High Energy Accelerators

Nonlinear Single-Particle Dynamics in High Energy Accelerators Nonlinear Single-Particle Dynamic in High Energy Accelerator Part 6: Canonical Perturbation Theory Nonlinear Single-Particle Dynamic in High Energy Accelerator Thi coure conit of eight lecture: 1. Introduction

More information

A Simplified Methodology for the Synthesis of Adaptive Flight Control Systems

A Simplified Methodology for the Synthesis of Adaptive Flight Control Systems A Simplified Methodology for the Synthei of Adaptive Flight Control Sytem J.ROUSHANIAN, F.NADJAFI Department of Mechanical Engineering KNT Univerity of Technology 3Mirdamad St. Tehran IRAN Abtract- A implified

More information

The Secret Life of the ax + b Group

The Secret Life of the ax + b Group The Secret Life of the ax + b Group Linear function x ax + b are prominent if not ubiquitou in high chool mathematic, beginning in, or now before, Algebra I. In particular, they are prime exhibit in any

More information

RaneNote BESSEL FILTER CROSSOVER

RaneNote BESSEL FILTER CROSSOVER RaneNote BESSEL FILTER CROSSOVER A Beel Filter Croover, and It Relation to Other Croover Beel Function Phae Shift Group Delay Beel, 3dB Down Introduction One of the way that a croover may be contructed

More information

Solving Differential Equations by the Laplace Transform and by Numerical Methods

Solving Differential Equations by the Laplace Transform and by Numerical Methods 36CH_PHCalter_TechMath_95099 3//007 :8 PM Page Solving Differential Equation by the Laplace Tranform and by Numerical Method OBJECTIVES When you have completed thi chapter, you hould be able to: Find the

More information

Secretary problems with competing employers

Secretary problems with competing employers Secretary problem with competing employer Nicole Immorlica 1, Robert Kleinberg 2, and Mohammad Mahdian 1 1 Microoft Reearch, One Microoft Way, Redmond, WA. {nickle,mahdian}@microoft.com 2 UC Berkeley Computer

More information

DYNAMIC MODELS FOR CONTROLLER DESIGN

DYNAMIC MODELS FOR CONTROLLER DESIGN DYNAMIC MODELS FOR CONTROLLER DESIGN M.T. Tham (996,999) Dept. of Chemical and Proce Engineering Newcatle upon Tyne, NE 7RU, UK.. INTRODUCTION The problem of deigning a good control ytem i baically that

More information

On the Stability Region of Congestion Control

On the Stability Region of Congestion Control On the Stability Region of Congetion Control Xiaojun Lin and Ne B. Shroff School of Electrical and Computer Engineering Purdue Univerity, Wet Lafayette, IN 47906 {linx,hroff}@ecn.purdue.edu Abtract It

More information

Lecture 7: Testing Distributions

Lecture 7: Testing Distributions CSE 5: Sublinear (and Streaming) Algorithm Spring 014 Lecture 7: Teting Ditribution April 1, 014 Lecturer: Paul Beame Scribe: Paul Beame 1 Teting Uniformity of Ditribution We return today to property teting

More information

PIPELINED DIVISION OF SIGNED NUMBERS WITH THE USE OF RESIDUE ARITHMETIC FOR SMALL NUMBER RANGE WITH THE PROGRAMMABLE GATE ARRAY

PIPELINED DIVISION OF SIGNED NUMBERS WITH THE USE OF RESIDUE ARITHMETIC FOR SMALL NUMBER RANGE WITH THE PROGRAMMABLE GATE ARRAY POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 76 Electrical Engineering 03 Robert SMYK* Zenon ULMAN* Maciej CZYŻAK* PIPELINED DIVISION OF SIGNED NUMBERS WITH THE USE OF RESIDUE ARITHMETIC FOR

More information

CONTROL SYSTEMS, ROBOTICS AND AUTOMATION Vol. VIII Decoupling Control - M. Fikar

CONTROL SYSTEMS, ROBOTICS AND AUTOMATION Vol. VIII Decoupling Control - M. Fikar DECOUPLING CONTROL M. Fikar Department of Proce Control, Faculty of Chemical and Food Technology, Slovak Univerity of Technology in Bratilava, Radlinkého 9, SK-812 37 Bratilava, Slovakia Keyword: Decoupling:

More information

Recent progress in fire-structure analysis

Recent progress in fire-structure analysis EJSE Special Iue: Selected Key Note paper from MDCMS 1 1t International Conference on Modern Deign, Contruction and Maintenance of Structure - Hanoi, Vietnam, December 2007 Recent progre in fire-tructure

More information

Control of Delayed Integrating Processes Using Two Feedback Controllers R MS Approach

Control of Delayed Integrating Processes Using Two Feedback Controllers R MS Approach Proceeding of the 7th WSEAS International Conference on SYSTEM SCIENCE and SIMULATION in ENGINEERING (ICOSSSE '8) Control of Delayed Integrating Procee Uing Two Feedback Controller R MS Approach LIBOR

More information

into a discrete time function. Recall that the table of Laplace/z-transforms is constructed by (i) selecting to get

into a discrete time function. Recall that the table of Laplace/z-transforms is constructed by (i) selecting to get Lecture 25 Introduction to Some Matlab c2d Code in Relation to Sampled Sytem here are many way to convert a continuou time function, { h( t) ; t [0, )} into a dicrete time function { h ( k) ; k {0,,, }}

More information

Technical Appendix: Auxiliary Results and Proofs

Technical Appendix: Auxiliary Results and Proofs A Technical Appendix: Auxiliary Reult and Proof Lemma A. The following propertie hold for q (j) = F r [c + ( ( )) ] de- ned in Lemma. (i) q (j) >, 8 (; ]; (ii) R q (j)d = ( ) q (j) + R q (j)d ; (iii) R

More information

HELICAL TUBES TOUCHING ONE ANOTHER OR THEMSELVES

HELICAL TUBES TOUCHING ONE ANOTHER OR THEMSELVES 15 TH INTERNATIONAL CONFERENCE ON GEOMETRY AND GRAPHICS 0 ISGG 1-5 AUGUST, 0, MONTREAL, CANADA HELICAL TUBES TOUCHING ONE ANOTHER OR THEMSELVES Peter MAYRHOFER and Dominic WALTER The Univerity of Innbruck,

More information

Modeling of the Fluid Solid Interaction during Seismic Event

Modeling of the Fluid Solid Interaction during Seismic Event Journal o Material cience and Enineerin A 5 (3-4) (015) 171-175 doi: 10.1765/161-613/015.3-4.010 D DAVID PIHING Modelin o the luid olid Interaction durin eimic Event Jan Vachulka * tevenon and Aociate,

More information

SIMPLE LINEAR REGRESSION

SIMPLE LINEAR REGRESSION SIMPLE LINEAR REGRESSION In linear regreion, we conider the frequency ditribution of one variable (Y) at each of everal level of a econd variable (). Y i known a the dependent variable. The variable for

More information

Lecture 9: Shor s Algorithm

Lecture 9: Shor s Algorithm Quantum Computation (CMU 8-859BB, Fall 05) Lecture 9: Shor Algorithm October 7, 05 Lecturer: Ryan O Donnell Scribe: Sidhanth Mohanty Overview Let u recall the period finding problem that wa et up a a function

More information