ĐIỆN TỬ SỐ HỌC VIỆN CÔNG NGHỆ BƢU CHÍNH VIỄN THÔNG BÀI GIẢNG MÔN

Similar documents
log23 (log 3)/(log 2) (ln 3)/(ln2) Attenuation = 10.log C = 2.B.log2M SNR db = 10.log10(SNR) = 10.log10 (db) C = B.log2(1+SNR) = B.

VÔ TUYẾN ĐIỆN ĐẠI CƯƠNG. TS. Ngô Văn Thanh Viện Vật Lý

Đánh giá: ❶ Bài tập (Quiz, In-Class) : 20% - Quiz (15-30 phút): chiếm 80%; 5 bài chọn 4 max TB - In-Class : chiếm 20% ; gọi lên bảng TB

CHƯƠNG TRÌNH DỊCH BÀI 14: THUẬT TOÁN PHÂN TÍCH EARLEY

PHÂN TÍCH DỮ LIỆU BẰNG PHẦN MỀM SPSS 12.0 * PHẦN 4

BÁO CÁO THỰC HÀNH KINH TẾ LƯỢNG

Bài 3: Mô phỏng Monte Carlo. Under construction.

PH NG PH P D¹Y HäC TÝCH CùC TRONG GI O DôC MÇM NON

Why does the motion of the Pioneer Satellite differ from theory?

Chapter#2 Tính chất của vật chất (Properties of Substances)

5 Dùng R cho các phép tính đơn giản và ma trận

Mục tiêu. Hiểu được. Tại sao cần phải định thời Các tiêu chí định thời Một số giải thuật định thời

Mã khối không thời gian trực giao và điều chế lưới

Các Phương Pháp Phân Tích Định Lượng

Ngô Nh Khoa và cs T p chí KHOA H C & CÔNG NGH 58(10): 35-40

Google Apps Premier Edition

PHÂN TÍCH T & CÂN BẰNG B

KHI X L T SÔNG H NG VÀO SÔNG ÁY

TỐI ƯU HÓA ĐA MỤC TIÊU ỨNG DỤNG XÁC LẬP CHẾ ĐỘ CÔNG NGHỆ SẤY THĂNG HOA (STH) TÔM THẺ

Đầu Nối Cáp T 630A 93-EE9X5-4-Exp-A-3/C Series Đầu Nối T : 24 kv 125 kv BIL Đáp ứng các tiêu chuẩn : IEC 502-4, VDE 0278 Hướng Dẫn Sử Dụng

KHÁI niệm chữ ký số mù lần đầu được đề xuất bởi D. Chaum [1] vào năm 1983, đây là

Nguồn điện một chiều E mắc trong mạch làm cho diode phân cực thuận. Gọi I D là dòng điện thuận chạy qua diode và V D là hiệu thế 2 đầu diode, ta có:

Năm 2015 O A O OB O MA MB = NA

ĐIỆN TỬ SỐ. Nguyễn Trung Hiếu. Khoa Kỹ thuật điện tử 1. Học viện Công nghệ Bưu chính viễn thông. V1.0 Bài giảng Điện tử số

15 tháng 06 năm 2014.

GIÁO TRÌNH Mô phỏng và mô hình hóa (Bản nháp) Trịnh Xuân Hoàng Viện Vật lý, Viện Hàn lâm KHCN VN Hà Nội 2015

sao cho a n 0 và lr(a n ) = Ra n X a n với X a n R R. Trong bài báo này, chúng Z r (R) (t.ư., Z l (R)).

Nhiễu và tương thích trường điện từ

NGHIÊN CỨU TIÊU CHUẨN VÀ PHƯƠNG PHÁP TỐI ƯU CÔNG SUẤT PHÁT CỦA HỆ THỐNG ĐIỆN MẶT TRỜI NỐI LƯỚI: XÉT CHO TRƯỜNG HỢP LƯỚI ĐIỆN HẠ THẾ 1 PHA

HƯỚNG DẪN SỬ DỤNG PHẦN MỀM DIỆT VIRUS AVIRA

Th vi n Trung Tâm HQG-HCM s u t m


hoctoancapba.com Kho đ ề thi THPT quốc gia, đ ề kiểm tra có đáp án, tài liệu ôn thi đại học môn toán

CƠ SỞ VẬT LÝ HẠT NHÂN

DỰ BÁO TƯỚNG THẠCH HỌC VÀ MÔI TRƯỜNG TRẦM TÍCH CHO ĐÁ CHỨA CARBONATE PHÍA NAM BỂ SÔNG HỒNG, VIỆT NAM

TÍNH TOÁN ĐỊNH HƯỚNG CHẾ TẠO CẤU TRÚC UVLED CHO BƯỚC SÓNG PHÁT XẠ 330nm

SỞ GD & ĐT BẮC NINH ĐÊ TẬP HUẤN THI THPT QUỐC GIA NĂM Đề bài y x m 2 x 4. C. m 2. có bảng biến thiên như hình dưới đây:

NGUYỄN THỊ VIỆT HƢƠNG

TÓM TẮT ĐỀ TÀI NGHIÊN CỨU

PHÂN TÍCH PHÂN BỐ NHIỆT HYDRAT VÀ ỨNG SUẤT TRONG CẤU TRÚC BÊ TÔNG ĐỂ KIỂM SOÁT SỰ GÂY NỨT CỦA CÔNG TRÌNH BÊ TÔNG CỐT THÉP

NG S VIÊN TRONG CH M SÓC

1. chapter G4 BA O CA O PHA T TRIÊ N BÊ N VƯ NG

LÝ LỊCH KHOA HỌC. CỘNG HOÀ XÃ HỘI CHỦ NGHĨA VIỆT NAM Độc lập - Tự do - Hạnh phúc BỘ Y TẾ TRƯỜNG ĐẠI HỌC DƯỢC HÀ NỘI. 1. Họ và tên: Vũ Đặng Hoàng

NHẬP MÔN HIỆN ĐẠI XÁC SUẤT & THỐNG KÊ

KH O SÁT D L NG THU C TR SÂU LÂN H U C TRONG M T S CH PH M TRÀ ACTISÔ

Hà Nội, ngày 22 tháng 1 năm 2012 (ngày Tất niên năm Nhâm Thìn) Đại diện nhóm biên soạn Chủ biên Hoàng Minh Quân Phan Đức Minh

cách kết hợp thuật toán Fuzzy C-Means (FCM) với giải thuật di truyền (GA). Sau đó, HaT2-FLS

NGUỒN THÔNG TIN MIỄN PHÍ TRÊN INTERNET : ĐÁNH GIÁ VÀ SỬ DỤNG DƯƠNG THÚY HƯƠNG Phòng Tham khảo Thư viện ĐH Khoa học Tự nhiên TP.

BẢNG GIÁ THIẾT BỊ SELEC

Nguyễn Thị Huyền Trang*, Lê Thị Thủy Tiên Trường Đại học bách khoa, ĐHQG tp Hồ Chí Minh,

- Cài đặt hệ số CT: 1/5-999 KA. - Nguồn cấp: AC: 240V AC ±20%, (50 / 60Hz) - 110V AC ±20%, (50 / 60Hz) - Mạng kết nối: 1 pha 2 dây hoặc 3 pha 3/4 dây

Trao đổi trực tuyến tại: l

Phâ n thông tin ba o ha nh cu a ASUS

Luâ t Chăm So c Sư c Kho e Mơ i va Medicare

NGHIÊN C U XU T XÂY D NG H H TR RA QUY T NH KHÔNG GIAN CHO THOÁT N C Ô TH B NG CÁC GI I PHÁP CÔNG TRÌNH

CH NG IV TH C HI N PH NG PHÁP T NG H P CHO QUY HO CH S D NG B N V NG NGU N TÀI NGUYÊN T AI

ĐẠI HỌC QUỐC GIA HÀ NỘI TRƯỜNG ĐẠI HỌC KHOA HỌC TỰ NHIÊN NGUYỄN HÀ MY

TẠO PAN ĐỘNG CƠ Ô TÔ ĐIỀU KHIỂN BẰNG MÁY TÍNH

MÔN KINH TẾ LƯỢNG (Econometric)

Phiên bản thử nghiệm Lưu hành nội bộ - Microsoft Vietnam

HÀM BĂM HASH FUNCTIONS. Giáo viên: Phạm Nguyên Khang

BÀI TOÁN CỰC TRỊ VỀ HÌNH HỌC TRONG MẶT PHẲNG

Thông tin mang tính a lý trên m t vùng lãnh th bao g m r t nhi u l p d li u khác nhau (thu c n v hành chánh nào, trên lo i t nào, hi n tr ng s d ng

THÔNG TIN LUẬN ÁN TIẾN SĨ

GIÁO H I PH T GIÁO VI T NAM TH NG NH T

Phạm Phú Anh Huy Khoa Xây dựng, Đặng Hồng Long- Khoa Xây dựng,

SỬ DỤNG PHƯƠNG PHÁP ĐO SÂU ĐIỆN XÁC ĐỊNH CẤU TRÚC ĐỊA CHẤT VÀ QUY MÔ PHÂN BỐ CỦA THAN TRONG TRẦM TÍCH ĐỆ TAM VÙNG TRŨNG AN NHƠN - BÌNH ĐỊNH

ĐƠN KHIÊ U NA I/THAN PHIỀN CU A HÔ I VIÊN. Đi a chi Tha nh phô Tiê u bang Ma zip

ĐH BÁCH KHOA TP.HCM. Bài giảng: NĂNG LƯỢNG TÁI TẠO. Giảng viên: ThS. Trần Công Binh

À N. á trong giáo d. Mã s HÀ N NGHIÊN C ÊN NGÀNH TÓM T

ĐỀ CƯƠNG CHI TIẾT BÀI GIẢNG (Dùng cho tiết giảng) Học phần: CHƯƠNG TRÌNH DỊCH Nhóm môn học:... Bộ môn: Khoa học máy tính Khoa (Viện): CNTT

C M NANG AN TOÀN SINH H C PHÒNG THÍ NGHI M

Trí Tuệ Nhân Tạo. Nguyễn Nhật Quang. Viện Công nghệ Thông tin và Truyền thông Trường Đại học Bách Khoa Hà Nội

Hình 8.1. Thiết bị Spektralapparat thiết kế bởi Kirchhoff và Bunsen (1833)

System AR.12_13VI 01/ with people in mind

THÔNG TIN VỀ LUẬN ÁN TIẾN SĨ

BÀI TIỂU LUẬN Môn học : Tính toán thiết kế Robot

HỌC PHẦN: CHƯƠNG TRÌNH DỊCH Bộ môn: Khoa học máy tính

Integrated Algebra. Glossary. High School Level. English / Vietnamese

(Analytical Chemistry)

BỒI DƯỠNG HỌC SINH GIỎI

A M T J SỐ 1- THÁNG 5/2017 APPLIED MATHEMATICS AND TECHNOLOGY JOURNAL. No 01 - MAY, 2017

Bạn có thể tham khảo nguồn tài liệu được dịch từ tiếng Anh tại đây: Thông tin liên hệ:

NGHIÊN CỨU CHẾ TẠO MÀNG MỎNG SẮT ĐIỆN - ÁP ĐIỆN PZT BẰNG PHƯƠNG PHÁP SOL - GEL ĐỊNH HƯỚNG ỨNG DỤNG TRONG CẢM BIẾN SINH HỌC

VÀI NÉT VỀ ĐỊA CHẤT - ĐỊA MẠO BÁN ĐẢO BARTON VÀ WEIVER, ĐẢO KING GEORGE, NAM CỰC

VnDoc - Tải tài liệu, văn bản pháp luật, biểu mẫu miễn phí Tóm tắt Ngữ pháp tiếng Anh lớp 6 (Cả năm)

TH TR NG HÀNG KHÔNG, KHÔNG GIAN VI T NAM

On Approximating Solution of Boundary Value Problems

Mã số: Khóa:

Thông Tin An Toàn V n Ph m AAM704 INTERGARD 345 DUSTY GREY PART A. 1.2 Cách dùng ng nh t có liên quan c a các ch t ho c h n h p và cách dùng ng c l i

LỜI NGỎ CHO EPSILON SỐ 6

BỘ GIAO THÔNG VẬN TẢI TRƯỜNG ĐẠI HỌC HÀNG HẢI BỘ MÔN: KHOA HO C MA Y TI NH KHOA: CÔNG NGHỆ THÔNG TIN. Giáo trình AN TOÀN VÀ BẢO MẬT THÔNG TIN

NH NGH A C C THU T NG 4 PH N I NHI M HUẨN ỆNH VIỆN V VỆ SINH TAY 6 PH N II TH C H NH HU N UYỆN V GI M S T VỆ SINH TAY 9

Saigon Oi Vinh Biet (Vietnamese Edition) By Duong Hieu Nghia chuyen dich READ ONLINE

Bộ môn Điều Khiển Tự Động Khoa Điện Điện Tử. Homepage:

VieTeX (21) Nguy ên Hũ, u Ðiê, n Khoa Toán - Co, - Tin học

ÍCH GÌ, TOÁN HỌC? HÀM MOEBIUS VÀ ĐỊNH LÝ PHẦN DƯ TRUNG HOA VÀ CÁC CHUYÊN MỤC KHÁC DẪN NHẬP VỀ HÀM ZETA RIEMANN VÀ PHÉP BIẾN ĐỔI MELLIN

FINITE DIFFERENCE METHOD AND THE LAME'S EQUATION IN HEREDITARY SOLID MECHANICS.

TẠP CHÍ KHOA HỌC, Đại học Huế, Số 48, 2008 TỔNG HỢP VÀ THỬ HOẠT TÍNH KHÁNG KHUẨN KHÁNG NẤM CỦA MỘT SỐ DẪN XUẤT AMIT VÒNG THƠM VÀ AMIT DN VÒNG TÓM TẮT

GIÁO TRÌNH LÝ THUY T C B N V M NG LAN

Transcription:

HỌC VIỆN CÔNG NGHỆ BƢU CHÍNH VIỄN THÔNG BÀI GIẢNG MÔN Giảng viên: ThS. Trần Thúy Hà Điện thoại/e-mail: 0912166577 / thuyhadt@gmail.com Bộ môn: Kỹ thuật điện tử- Khoa KTDT1 Học kỳ/năm biên soạn: Học kỳ 1 năm 2009 1

Chƣơng 1: Hệ đếm Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 3: Cổng logic TTL và CMOS Chƣơng 4: Mạch logic tổ hợp Chƣơng 5: Mạch logic tuần tự Chƣơng 6: Mạch phát xung và tạo dạng xung Chƣơng 7: Bộ nhớ bán dẫn. Chƣơng 8: cấu kiện logic khả trình (PLD) Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL) 2

Headline (Times New Roman BÀI GIẢNG Black MÔN : ĐIỆN 36pt.) TỬ SỐ CHƢƠNG 1. Hệ đếm 3

1.1. Biểu diễn số 1.2. Chuyển đổi cơ số giữa các hệ đếm 1.3. Số nhị phân có dấu 1.4. Dấu phẩy động 1.5. Một số loại mã nhị phân thông dụng 4

1.1. Biểu diễn số (1) Nguyên tắc chung Dùng một số hữu hạn các ký hiệu ghép với nhau theo qui ƣớc về vị trí. Các ký hiệu này thƣờng đƣợc gọi là chữ số. Do đó, ngƣời ta còn gọi hệ đếm là hệ thống số. Số ký hiệu đƣợc dùng là cơ số của hệ ký hiệu là r. Giá trị biểu diễn của các chữ khác nhau đƣợc phân biệt thông qua trọng số của hệ. Trọng số của một hệ đếm bất kỳ sẽ bằng r i, với i là số nguyên dƣơng hoặc âm. Tên gọi, số ký hiệu và cơ số của một vài hệ đếm thông dụng Tên hệ đếm Số ký hiệu Cơ số (r) Hệ nhị phân (Binary) Hệ bát phân (Octal) Hệ thập phân (Decimal) Hệ thập lục phân (Hexadecimal) 0, 1 0, 1, 2, 3, 4, 5, 6, 7 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F Chú ý: Ngƣời ta cũng có thể gọi hệ đếm theo cơ số của chúng. Ví dụ: Hệ nhị phân = Hệ cơ số 2, Hệ thập phân = Hệ cơ số 10... 2 8 10 16 5

1.1. Biểu diễn số (2) Biểu diễn số tổng quát: N a r... a r a r a r... a r n 1 1 0 1 m n 1 1 0 1 m m i ai r n 1 n 1 1 0 1 m 10 n 1 1 0 1 m m i di 10 n 1 N d 10... d 10 d 10 d 10... d 10 N b 2... b 2 b 2 b 2... b 2 n 1 1 0 1 m 2 n 1 1 0 1 m m n 1 b 2 i i 6

1.1. Biểu diễn số (3) N O 8... O 8 O 8... O 8 n 1 0 1 m 8 n 1 0 1 m m n 1 O 8 i i n 1 0 1 m 16 n 1 0 1 m m i Hi 16 n 1 N H 16... H 16 H 16... H 16 7

1.2. Chuyển đổi cơ số giữa các hệ đếm 1.1. Biểu diễn số 1.2. Chuyển đổi cơ số giữa các hệ đếm 1.3. Số nhị phân có dấu 1.4. Dấu phẩy động 1.5. Một số loại mã nhị phân thông dụng 8

Chuyển đổi từ hệ cơ số 10 sang các hệ khác QUY TẮC: Đối với phần nguyên: Chia liên tiếp phần nguyên của số thập phân cho cơ số của hệ cần chuyển đến, số dƣ sau mỗi lần chia viết đảo ngƣợc trật tự là kết quả cần tìm. Phép chia dừng lại khi kết quả lần chia cuối cùng bằng 0. Đối với phần phân số: Nhân liên tiếp phần phân số của số thập phân với cơ số của hệ cần chuyển đến, phần nguyên thu đƣợc sau mỗi lần nhân, viết tuần tự là kết quả cần tìm. Phép nhân dừng lại khi phần phân số triệt tiêu. 9

Đổi một biểu diễn trong hệ bất kì sang hệ 10 Công thức chuyển đổi: n 1 n 2 0 1 m 10 n 1 n 2 0 1 m N a r a r... a r a r... a r Thực hiện lấy tổng vế phải sẽ có kết quả cần tìm. Trong biểu thức trên, a i và r là hệ số và cơ số hệ có biểu diễn. Ví dụ: Chuyển 1101110.10 2 sang hệ thập phân 10 6 5 4 3 2 1 0 1 2 N 1 2 1 2 0 2 1 2 1 2 1 2 0 2 1 2 0 2 64 32 0 8 4 2 0 0.5 0 110.5 10

Đổi các số từ hệ nhị phân sang hệ cơ số 8, 16 Quy tắc: Vì 8 = 2 3 và 16 = 2 4 nên ta chỉ cần dùng một số nhị phân 3 bit là đủ ghi 8 ký hiệu của hệ cơ số 8 và từ nhị phân 4 bit cho hệ cơ số 16. Do đó, muốn đổi một số nhị phân sang hệ cơ số 8 và 16 ta chia số nhị phân cần đổi, kể từ dấu phân số sang trái và phải thành từng nhóm 3 bit hoặc 4 bit. Sau đó thay các nhóm bit đã phân bằng ký hiệu tƣơng ứng của hệ cần đổi tới. 11

1.3. Số nhị phân có dấu 1.1. Biểu diễn số 1.2. Chuyển đổi cơ số giữa các hệ đếm 1.3. Số nhị phân có dấu 1.4. Dấu phẩy động 1.5. Một số loại mã nhị phân thông dụng 12

3 phƣơng pháp biểu diễn số nhị phân có dấu Sử dụng một bit dấu. Trong phƣơng pháp này ta dùng một bit phụ, đứng trƣớc các bit trị số để biểu diễn dấu, 0 chỉ dấu dƣơng (+), 1 chỉ dấu âm (-). Sử dụng phép bù 1. Giữ nguyên bit dấu và lấy bù 1 các bit trị số (bù 1 bằng đảo của các bit cần đƣợc lấy bù). Sử dụng phép bù 2 Là phƣơng pháp phổ biến nhất. Số dƣơng thể hiện bằng số nhị phân không bù (bit dấu bằng 0), còn số âm đƣợc biểu diễn qua bù 2 (bit dấu bằng 1). Bù 2 bằng bù 1 cộng 1. Có thể biểu diễn số âm theo phƣơng pháp bù 2 xen kẽ: bắt đầu từ bit LSB, dịch về bên trái, giữ nguyên các bit cho đến gặp bit 1 đầu tiên và lấy bù các bit còn lại. Bit dấu giữ nguyên. 13

Cộng và trừ các số theo biểu diễn bit dấu Phép cộng Hai số cùng dấu: cộng hai phần trị số với nhau, còn dấu là dấu chung. Hai số khác dấu và số dương lớn hơn: cộng trị số của số dƣơng với bù 1 của số âm. Bit tràn đƣợc cộng thêm vào kết quả trung gian. Dấu là dấu dƣơng. Hai số khác dấu và số dương lớn hơn: cộng trị số của số dƣơng với bù 1 của số âm. Lấy bù 1 của tổng trung gian. Dấu là dấu âm. Phép trừ. Nếu lƣu ý rằng, - (-) = + thì trình tự thực hiện phép trừ trong trƣờng hợp này cũng giống phép cộng. 14

Cộng và trừ các số theo biểu diễn bù 1 Phép cộng Hai số dương: cộng nhƣ cộng nhị phân thông thƣờng, kể cả bit dấu. Hai số âm: biểu diễn chúng ở dạng bù 1 và cộng nhƣ cộng nhị phân, kể cả bit dấu. Bit tràn cộng vào kết quả. Chú ý, kết quả đƣợc viết dƣới dạng bù 1. Hai số khác dấu và số dương lớn hơn: cộng số dƣơng với bù 1 của số âm. Bit tràn đƣợc cộng vào kết quả. Hai số khác dấu và số âm lớn hơn: cộng số dƣơng với bù 1 của số âm. Kết quả không có bit tràn và ở dạng bù 1. Phép trừ Để thực hiện phép trừ, ta lấy bù 1 của số trừ, sau đó thực hiện các bƣớc nhƣ phép cộng. 15

Cộng và trừ các số theo biểu diễn bù 2 Phép cộng Hai số dương: cộng nhƣ cộng nhị phân thông thƣờng. Kết quả là dƣơng. Hai số âm: lấy bù 2 cả hai số hạng và cộng, kết quả ở dạng bù 2. Hai số khác dấu và số dương lớn hơn: lấy số dƣơng cộng với bù 2 của số âm. Kết quả bao gồm cả bit dấu, bit tràn bỏ đi. Hai số khác dấu và số âm lớn hơn: số dƣơng đƣợc cộng với bù 2 của số âm, kết quả ở dạng bù 2 của số dƣơng tƣơng ứng. Bit dấu là 1. Phép trừ Phép trừ hai số có dấu là các trƣờng hợp riêng của phép cộng. 16

1.4. Dấu phẩy động 1.1. Biểu diễn số 1.2. Chuyển đổi cơ số giữa các hệ đếm 1.3. Số nhị phân có dấu 1.4. Dấu phẩy động 1.5. Một số loại mã nhị phân thông dụng 17

Biểu diễn theo dấu phẩy động Gồm hai phần: số mũ E (phần đặc tính) và phần định trị M (trƣờng phân số). E có thể có độ dài từ 5 đến 20 bit, M từ 8 đến 200 bit phụ thuộc vào từng ứng dụng và độ dài từ máy tính. Thông thƣờng dùng 1 số bit để biểu diễn E và các bit còn lại cho M với điều kiện: E x X 2 M x 1/ 2 M 1 E và M có thể đƣợc biểu diễn ở dạng bù 2. Giá trị của chúng đƣợc hiệu chỉnh để đảm bảo mối quan hệ trên đây đƣợc gọi là chuẩn hóa. 18

Các phép tính với biểu diễn dấu phẩy động Giống nhƣ các phép tính của hàm mũ. Giả sử có hai số theo dấu phẩy động đã chuẩn hóa: thì: E x E Y 2 y M y X 2 M x Nhân: Chia: E E E x y z Z X.Y 2 x y M.M 2 Z M E E E x y w W X / Y 2 x y M / M 2 w M Muốn lấy tổng và hiệu, cần đƣa các số hạng về cùng số mũ, sau đó số mũ của tổng và hiệu sẽ lấy số mũ chung, còn định trị của tổng và hiệu sẽ bằng tổng và hiệu các định trị. 19

Headline (Times New Roman BÀI GIẢNG Black MÔN : 36pt.) 1.5. Một số loại mã nhị phân thông dụng 1.1. Biểu diễn số 1.2. Chuyển đổi cơ số giữa các hệ đếm 1.3. Số nhị phân có dấu 1.4. Dấu phẩy động 1.5. Một số loại mã nhị phân thông dụng 20

Headline (Times New Roman BÀI GIẢNG Black MÔN : 36pt.) 1.5. Một số loại mã nhị phân thông dụng Số thập phân Trọng số của mã BCD 8421 7421 5121 2421 4221 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0000 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0001 2 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0010 3 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0011 4 0 1 0 0 0 1 0 0 0 1 1 1 0 1 0 0 1000 5 0 1 0 1 0 1 0 1 1 0 0 0 1 0 1 1 0111 6 0 1 1 0 0 1 1 0 1 0 0 1 1 1 0 0 1100 7 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 1 1101 8 1 0 0 0 1 0 0 1 1 0 1 1 1 1 1 0 1110 9 1 0 0 1 1 0 1 0 1 1 1 1 1 1 1 1 1111 Cấu tạo mã BCD với các trọng số khác nhau. 21

Headline (Times New Roman BÀI GIẢNG Black MÔN : 36pt.) 1.5. Một số loại mã nhị phân thông dụng Số thập phân Số nhị phân Mã dƣ 3 Mã Gray Mã Gray Dƣ 3 Mã Johnson Mã vòng 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 2 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 0 0 3 0 0 1 1 0 1 1 0 0 0 1 0 0 1 0 1 1 1 1 0 0 0 0 0 0 0 0 1 0 0 0 4 0 1 0 0 0 1 1 1 0 1 1 0 0 1 0 0 1 1 1 1 0 0 0 0 0 0 1 0 0 0 0 5 0 1 0 1 1 0 0 0 0 1 1 1 1 1 0 0 1 1 1 1 1 0 0 0 0 1 0 0 0 0 0 6 0 1 1 0 1 0 0 1 0 1 0 1 1 1 0 1 0 1 1 1 1 0 0 0 1 0 0 0 0 0 0 7 0 1 1 1 1 0 1 0 0 1 0 0 1 1 1 1 0 0 1 1 1 0 0 1 0 0 0 0 0 0 0 8 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 0 0 0 0 1 1 0 1 0 0 0 0 0 0 0 0 9 1 0 0 1 1 1 0 0 1 1 0 1 1 0 1 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 Cấu tạo của một số mã nhị phân thông dụng. 22

Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 1: Hệ đếm Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 3: Cổng logic TTL và CMOS Chƣơng 4: Mạch logic tổ hợp Chƣơng 5: Mạch logic tuần tự Chƣơng 6: Mạch phát xung và tạo dạng xung Chƣơng 7: Bộ nhớ bán dẫn. Chƣơng 8: cấu kiện logic khả trình (PLD) Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL) 23

Headline (Times New Roman BÀI GIẢNG Black MÔN : ĐIỆN 36pt.) TỬ SỐ CHƢƠNG 2. ĐẠI SỐ BOOLE 24

2.1. Các định lý Các định lý cơ bản: Stt Tên gọi Dạng tích Dạng tổng 1 Đồng nhất X.1 = X X + 0 = X 2 Phần tử 0, 1 X.0 = 0 X + 1 = 1 3 Bù X.X 0 X X 1 4 Bất biến X.X = X X + X = X 5 Hấp thụ X + X.Y = X X.(X + Y) = X X 1 Y Z 6 Phủ định đúp 7 Định lý DeMorgan X = X X.Y.Z... X Y Z... X Y Z... X.Y.Z... Các định luật cơ bản: Hoán vị: X.Y = Y.X, X + Y = Y + X Kết hợp: X.(Y.Z) = (X.Y).Z, X + (Y + Z) = (X + Y) + Z Phân phối: X.(Y + Z) = X.Y + X.Z, (X + Y).(X + Z) = X + Y.Z 25

2.2. Các phƣơng pháp biểu diễn hàm Boole Có 3 phƣơng pháp biểu diễn: Bảng trạng thái Bảng các nô (Karnaugh) Phƣơng pháp đại số 26

2.2.1. Phƣơng pháp Bảng trạng thái Liệt kê giá trị (trạng thái) mỗi biến theo từng cột và giá trị hàm theo một cột riêng (thƣờng là bên phải bảng). Bảng trạng thái còn đƣợc gọi là bảng sự thật hay bảng chân lý. Đối với hàm n biến sẽ có 2 n tổ hợp độc lập. Các tổ hợp này đƣợc kí hiệu bằng chữ m i, với i = 0 2 n -1 và có tên gọi là các hạng tích hay còn gọi là mintex. m A B C f m 0 0 0 0 0 m 1 0 0 1 0 m 2 0 1 0 0 m 3 0 1 1 0 m 4 1 0 0 0 m 5 1 0 1 0 m 6 1 1 0 0 m 7 1 1 1 1 27

2.2.2. Phƣơng pháp Bảng Các nô (Karnaugh) Tổ chức của bảng Các nô: Các tổ hợp biến đƣợc viết theo một dòng A (thƣờng là phía trên) và một cột (thƣờng 0 là bên trái). 1 Một hàm logic có n biến sẽ có 2 n ô. Mỗi ô thể hiện một hạng tích hay một A hạng tổng, các hạng tích trong hai ô kế 0 cận chỉ khác nhau một biến. B 0 1 BC 00 01 11 10 1 CD 00 01 11 10 AB 00 01 11 10 28

2.2.3. Phƣơng pháp đại số Có 2 dạng biểu diễn là dạng tuyển (tổng các tích) và dạng hội (tích các tổng). Dạng tuyển: Mỗi số hạng là một hạng tích hay mintex, thƣờng kí hiệu bằng chữ "m i ". Dạng hội: Mỗi thừa số là hạng tổng hay maxtex, thƣờng đƣợc kí hiệu bằng chữ "M i ". Nếu trong tất cả mỗi hạng tích hay hạng tổng có đủ mặt các biến, thì dạng tổng các tích hay tích các tổng tƣơng ứng đƣợc gọi là dạng chuẩn. Dạng chuẩn là duy nhất. Tổng quát, hàm logic n biến có thể biểu diễn chỉ bằng một dạng tổng các tích: hoặc bằng chỉ một dạng tích các tổng: n 2 1 f X,...,X a m n 1 0 i i i 0 n 2 1 f X,...,X a m n 1 0 i i i 0 a i chỉ lấy hai giá trị 0 hoặc 1. Đối với một hàm thì mintex và maxtex là bù của nhau. 29

2.3. Các phƣơng pháp rút gọn hàm Có 3 phƣơng pháp rút gọn hàm: Phƣơng pháp đại số Bảng Cácnô Phƣơng pháp Quine Mc. Cluskey 30

2.3.1. Phƣơng pháp đại số Dựa vào các định lý đã học để đƣa biểu thức về dạng tối giản. Ví dụ: Hãy đƣa hàm logic về dạng tối giản: f AB AC BC Áp dụng định lý,, ta có: A A 1 X XY X f AB AC BC A A AB ABC AC ABC AB AC Vậy nếu trong tổng các tích, xuất hiện một biến và đảo của biến đó trong hai số hạng khác nhau, các thừa số còn lại trong hai số hạng đó tạo thành thừa số của một số hạng thứ ba thì số hạng thứ ba đó là thừa và có thể bỏ đi. 31

2.3.2. Phƣơng pháp Bảng Các nô (Karnaugh) Phƣơng pháp này thƣờng đƣợc dùng để rút gọn các hàm có số biến không vƣợt quá 5. Các bƣớc tối thiểu hóa: 1. Gộp các ô kế cận có giá trị 1 (hoặc 0 ) lại thành từng nhóm 2, 4,..., 2 i ô. Số ô trong mỗi nhóm càng lớn kết quả thu đƣợc càng tối giản. Một ô có thể đƣợc gộp nhiều lần trong các nhóm khác nhau. Nếu gộp theo các ô có giá trị 0 ta sẽ thu đƣợc biểu thức bù của hàm. AB CD 00 01 11 10 00 1 1 01 1 1 11 1 1 1 1 10 1 1 f 1 = AB f 2 = C 2. Thay mỗi nhóm bằng một hạng tích mới, trong đó giữ lại các biến giống nhau theo dòng và cột. 3. Cộng các hạng tích mới lại, ta có hàm đã tối giản (Đối với minterm). 32

2.3.3. Phƣơng pháp Quine Mc. Cluskey Phƣơng pháp này có thể tối thiểu hóa đƣợc hàm nhiều biến và có thể tiến hành công việc nhờ máy tính. Các bƣớc tối thiểu hóa: 1. Lập bảng liệt kê các hạng tích dƣới dạng nhị phân theo từng nhóm với số bit 1 giống nhau và xếp chúng theo số bit 1 tăng dần. 2. Gộp 2 hạng tích của mỗi cặp nhóm chỉ khác nhau 1 bit để tạo các nhóm mới. Trong mỗi nhóm mới, giữ lại các biến giống nhau, biến bỏ đi thay bằng một dấu ngang (-). Lặp lại cho đến khi trong các nhóm tạo thành không còn khả năng gộp nữa. Mỗi lần rút gọn, ta đánh dấu # vào các hạng ghép cặp đƣợc. Các hạng không đánh dấu trong mỗi lần rút gọn sẽ đƣợc tập hợp lại để lựa chọn biểu thức tối giản. 33

Phƣơng pháp Quine Mc. Cluskey (tiếp) Bƣớc 1: Lập bảng Bảng a Bƣớc 2: Thực hiện nhóm các hạng tích Bảng b Hạng tích sắp xếp Nhị phân (ABCD) Rút gọn lần 1 (ABCD) Rút gọn lần thứ 2 (ABCD) 10 12 11 13 14 15 1 0 1 0 1 1 0 0 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 Ta nhận thấy rằng 4 cột có duy nhất một dấu "x" ứng với hai hạng 11-- và 1-1-. Do đó, biểu thức tối giản là: f A, B,C, D AB AC 1 0 1 - # (10,11) 1-1 0 # (10,14) 1 1 0 - # (12,13) 1 1-0 # (12,14) 1-1 1 # (11,15) 1 1-1 # (13,15) 1 1 1 - # (14,15) 1 1 - - (12,13,14,15) 1-1 - (10,11,14,15) A BCD 10 11 12 13 14 15 1 1 - - 1-1 - x x x x x x x x 34

Headline (Times New Roman BÀI GIẢNG Black MÔN : ĐIỆN 36pt.) TỬ SỐ CHƢƠNG 3. CỔNG LOGIC 35

Headline (Times New Roman BÀI GIẢNG Black MÔN : 36pt.) Nội dung Cổng logic cơ bản Một số cổng ghép thông dụng Logic dƣơng và logic âm Các tham số chính Các họ cổng logic 36

3.1.Cổng logic cơ bản: AND, OR, NOT Cổng AND Cổng OR Cổng NOT 37

3.1.1. Cổng AND Hàm ra của cổng AND 2 và nhiều biến vào nhƣ sau: f f(a,b) AB; f f(a,b,c,d,...) A.B.C.D... A B A B C Chuẩn ANSI Ký hiệu cổng AND f f A B A B C 0 0 0 & & 0 0 0 Chuẩn IEEE 0 f f Bảng trạng thái cổng AND 2 lối vào A B f A B f 0 0 0 L L L 0 1 0 L H L 1 0 0 H L L 1 1 1 H H H Theo giá trị logic Theo mức logic 38

3.1.2. Cổng OR Hàm ra của cổng OR 2 và nhiều biến vào nhƣ sau: f f(a,b) A B; f f(a,b,c,d,...) A B C D... A B A B C Chuẩn ANSI Ký hiệu cổng OR f f A B A B C 0 0 0 0 >=1 >=1 0 0 Chuẩn IEEE 0 f f Bảng trạng thái cổng OR 2 lối vào A B f A B f 0 0 0 L L L 0 1 1 L H H 1 0 1 H L H 1 1 1 H H H Theo giá trị logic Theo mức logic 39

3.1.3. Cổng NOT Hàm ra của cổng NOT: f A Ký hiệu cổng NOT Bảng trạng thái cổng NOT A f A f A f A f 0 1 L H A f A f 1 0 H L Theo giá trị logic Theo mức logic Chuẩn ANSI Chuẩn IEEE A A Dạng xung ra 40

3.2. Một số cổng ghép thông dụng Cổng NAND Cổng NOR Cổng khác dấu (XOR) Cổng đồng dấu (XNOR) 41

3.2.1. Cổng NAND Ghép nối tiếp một cổng AND với một cổng NOT ta đƣợc cổng NAND. A B AB f = AB Hàm ra của cổng NAND 2 và nhiều biến vào nhƣ sau: f f AB ABCD... Ký hiệu cổng NAND Bảng trạng thái cổng NAND 2 lối vào A B f A B 0 0 & 0 f A B f A B f 0 0 1 L L H A B C Chuẩn ANSI f A B C 0 0 & 0 0 Chuẩn IEEE f 0 1 1 L H H 1 0 1 H L H 1 1 0 H H L Theo giá trị logic Theo mức logic 42

3.2.2. Cổng NOR Ghép nối tiếp một cổng OR với một cổng NOT ta đƣợc cổng NOR. A B A+B f = A+B Hàm ra của cổng NOR 2 và nhiều biến vào nhƣ sau: f A B f A B C D... A B A B C Chuẩn ANSI Ký hiệu cổng NOR f f A B A B C 0 0 0 0 >=1 >=1 0 0 Chuẩn IEEE 0 f f Bảng trạng thái cổng NOR 2 lối vào A B f A B f 0 0 1 L L H 0 1 0 L H L 1 0 0 H L L 1 1 0 H H L Theo giá trị logic Theo mức logic 43

3.2.3. Cổng XOR - cổng khác dấu Cổng XOR còn gọi là cổng khác dấu, hay cộng modul 2. A AB B A f = AB + AB B AB Hàm ra của cổng XOR 2 biến vào nhƣ sau: f AB AB hay f A B A B A B C Chuẩn ANSI Ký hiệu cổng XOR f f A B A B C 0 0 0 0 =1 =1 0 0 Chuẩn IEEE 0 f f Bảng trạng thái cổng XOR 2 lối vào A B f A B f 0 0 0 L L L 0 1 1 L H H 1 0 1 H L H 1 1 0 H H L Theo giá trị logic Theo mức logic 44

3.2.4. Cổng XNOR - cổng đồng dấu Cổng XNOR còn gọi là cổng đồng dấu. A AB B A B AB f = AB + AB A B A B C Hàm ra của cổng XNOR 2 biến vào nhƣ sau: f AB AB hay f A B A B Chuẩn ANSI Ký hiệu cổng XNOR f f A B A B C 0 0 0 0 = = 0 0 Chuẩn IEEE 0 f f Bảng trạng thái cổng XNOR 2 lối vào A B f A B f 0 0 1 L L H 0 1 0 L H L 1 0 0 H L L 1 1 1 H H H Theo giá trị logic Theo mức logic 45

3.2.5. Logic dƣơng và logic âm Logic dương là logic có điện thế mức cao H luôn lớn hơn điện thế mức thấp L (V H > V L ). Logic âm là đảo của logic dƣơng (V H < V L ). Khái niệm logic âm thƣờng đƣợc dùng để biểu diễn trị các biến. Logic âm và mức âm của logic là hoàn toàn khác nhau. V H 0 1 1 0 0 1 0 1 1 1 0 0 1 0 L 0 a) Logic dƣơng với mức dƣơng. V t 0 H L 0 1 1 0 0 1 0 1 1 1 0 0 1 0 b) Logic dƣơng với mức âm. t 46

3.3. Các tham số chính Mức logic Độ chống nhiễu Hệ số ghép tải K Công suất tiêu thụ Trễ truyền lan 47

3.3.1. Mức logic 5v 4v V VHmax V V RHmax V RHmax VHmax 4,9v N H V RHmin V VHmin 3,5v 3v 2,4v V RHmin 2v V VHmin N H V VLmax 1,5v 1v 0v 0,8v V VLmax N L 0,4v Họ TTL V RLmax N L Họ CMOS 0,1v V RLmax Mức logic là mức điện thế trên đầu vào và đầu ra của cổng tƣơng ứng với logic "1" và logic "0", nó phụ thuộc điện thế nguồn nuôi của cổng (Vcc đối với họ TTL (Transistor Transistor Logic) và Vdd đối với họ MOS (Metal Oxide Semiconductor)). 48

3.3.2. Độ chống nhiễu Độ chống nhiễu (hay độ phòng vệ nhiễu) là mức nhiễu lớn nhất tác động tới lối vào hoặc lối ra của cổng mà chƣa làm thay đổi trạng thái vốn có của nó. V NH V NL V VL TTL Cổng I V RH VVH TTL Cổng II Tác động nhiễu khi mức ra cao V VH TTL Cổng I V VL V RL TTL Cổng II b) Tác động nhiễu khi mức ra thấp V RH VRHmin VNH VVHmin VNH VVHmin VRHmin VRLmax VNL VVLmax VNL VVLmax VRLmax 49

3.3.3. Hệ số ghép tải K Cho biết khả năng nối đƣợc bao nhiêu lối vào tới đầu ra của 1 cổng đã cho. Hệ số ghép tải phụ thuộc dòng ra (hay dòng phun) của cổng chịu tải và dòng vào (hay dòng hút) của các cổng tải ở cả hai trạng thái H, L. Cổng chịu tải H A B Các cổng tải Cổng chịu tải L A B Các cổng tải I RH I RL a) Mức ra của cổng chịu tải là H b) Mức ra của cổng chịu tải là L Công thức tính hệ số ghép tải: K t I I RLmax RL Bài ; BỘ MÔN: KỸ THUẬT giảng ĐIỆN Điện tử TỬ- sốkhoa KTDT1 50

3.3.4. Công suất tiêu thụ +Vcc +Vcc L H I CCH H H H I CCL L Hai trạng thái tiêu thụ dòng của cổng logic I CCH - Là dòng tiêu thụ khi đầu ra lấy mức H, I CCL - Là dòng tiêu thụ khi đầu ra lấy mức L. Theo thống kê, tín hiệu số có tỷ lệ bit H / bit L khoảng 50%. Do đó, dòng tiêu thụ trung bình I CC đƣợc tính theo công thức: I CC = (I CCH + I CCL )/ 2 Công suất tiêu thụ trung bình của mỗi cổng sẽ là: P 0 = I CC. V CC 51

3.3.5. Trễ truyền lan Tín hiệu đi qua một cổng phải mất một khoảng thời gian, đƣợc gọi là trễ truyền lan. Vào Vào Ra Ra t THL t TLH Trễ truyền lan xảy ra tại cả hai sƣờn của xung ra. Nếu kí hiệu trễ truyền lan ứng với sƣờn trƣớc là tthl và sƣờn sau là ttlh thì trễ truyền lan trung bình là: t Tbtb = (t THL + t TLH )/2 52

3.4. Các họ cổng logic Họ DDL Họ DTL Họ RTL Họ TTL Họ MOS FET Họ ECL 53

3.4.1. Họ DDL DDL (Diode Diode Logic) là họ cổng logic do các diode bán dẫn tạo thành. Cổng AND, OR 2 lối vào họ DDL: Bảng trạng thái +5V thể hiện nguyên lý hoạt động của các cổng AND, OR họ DDL A B A B D1 D2 D1 D2 R1 f a) Cổng AND f A B A B f f AND OR A(V) B(V) f(v) A(V) B(V) f(v) 0 0 0,7 0 0 0 0 3 0,7 0 5 4,3 3 0 0,7 5 0 4,3 R1 3 3 4,7 5 5 4,3 b) Cổng OR Theo mức điện áp vào/ra 54

3.4.2. Họ DTL Để thực hiện chức năng đảo, ta có thể đấu nối tiếp với các cổng DDL một transistor công tác ở chế độ khoá. Mạch cổng nhƣ thế đƣợc gọi là họ DTL (Diode Transistor Logic). Ví dụ các cổng NOT, NAND thuộc họ DTL +5V +5V +5V +5V A D1 4k D2 D3 2k Q 1 f D4 5k B a) b) Bằng cách tƣơng tự, ta có thể thiết lập cổng NOR hoặc các cổng liên hợp phức tạp hơn. A D1 4k D2 D3 5k 2k Q 1 f 55

3.4.3. Họ RTL Họ RTL (Resistor Transistor Logic) là các cổng logic đƣợc cấu tạo bởi các điện trở và transistor. Cổng NOT họ RTL 12 V 5 V Cổng NOR 2 lối vào họ RTL 12 V 5 V 5 V 0 V 1 k 10 k 1 k 5,7 V 0 V D A B 5 V 0 V 1 k 1 k 10 k 1 k 5,7 V 0 V D Z -12 V 0 V Bảng trạng thái A(V) f(v) 0 5,7 5 0-12 V 0 V Bảng trạng thái A(V) B(V) f(v) 0 0 5,7 0 5 0 5 0 0 5 5 0 56

3.4.4. Họ TTL Thay các điốt đầu vào họ DTL thành transistor đa lớp tiếp giáp BE ta đƣợc họ TTL (Transistor Transistor Logic). Một số mạch TTL Mạch cổng NAND Mạch cổng OR Mạch cổng collector để hở Mạch cổng TTL 3 trạng thái Họ TTL có diode Schottky ( TTL + S ) 57

3.4.4.1. Mạch cổng NAND TTL Sơ đồ nguyên lý của mạch NAND TTL có thể đƣợc chia ra thành 3 phần. R1 4k R2 1,6k Q3 R3 300 +Vcc A B Q1 Q2 D3 f A B f Q4 D1 D2 R4 1k Mạch đầu vào: gồm Transistor Q1, trở R1 và các diode D1, D2. Mạch giữa: gồm Transistor Q2, các trở R2, R4. Mạch đầu ra: gồm Q3, Q4, R3 và diode D3. 58

3.4.4.2. Mạch cổng OR TTL Sơ đồ nguyên lý của mạch NAND TTL có thể đƣợc chia ra thành 3 phần. +Vcc A B Q1 R1 4k Q2 R2 4k Q3 R3 1,6k D3 Q4 Q5 R5 1,6k Q6 R7 130 Q7 Q8 D4 f D1 D2 R4 1 k R6 1 k Sơ đồ mạch điện của một cổng OR TTL 2 lối vào. 59

3.4.4.3. Mạch cổng collector để hở Nhƣợc điểm của họ cổng TTL có mạch ra khép kín là hệ số tải đầu ra không thể thay đổi, nên nhiều khi gây khó khăn trong việc kết nối với đầu vào của các mạch điện tử tầng sau. Cổng logic collector để hở khắc phục đƣợc nhƣợc điểm này. +5V R1 4k R2 1,6k A Q1 Q2 A f D1 R3 1,6k Q3 f Hình trên là sơ đồ của một cổng TTL đảo collector hở tiêu chuẩn. Muốn đƣa cổng vào hoạt động, cần đấu thêm trở gánh ngoài, từ cực collector đến +Vcc. 60

3.4.4.4.Mạch cổng TTL 3 trạng thái +5V +Vcc A B Q1 R1 4k R2 4k D1 Q3 R3 1,6k Q4 R5 130 D2 f R5 Q4 Lối ra Z cao E Q2 R4 1k Q5 Q5 61

3.4.5. Họ MOS FET Bán dẫn trƣờng (MOS FET) cũng đƣợc dùng rất phổ biến để xây dựng mạch điện các loại cổng logic. Đặc điểm chung và nổi bật của họ này là: Mạch điện chỉ bao gồm các MOS FET mà không có điện trở Dải điện thế công tác rộng, có thể từ +3 đến +15 V Độ trễ thời gian lớn, nhƣng công suất tiêu thụ rất bé Tuỳ theo loại MOS FET đƣợc sử dụng, họ này đƣợc chia ra các tiểu họ: PMOS NMOS CMOS Cổng truyền dẫn 62

3.4.5.1. PMOS Mạch điện của họ cổng này chỉ dùng MOSFET có kênh dẫn loại P. Công nghệ PMOS cho phép sản xuất các mạch tích hợp với mật độ cao nhất. V DD V DD A G G S D S D Q1 Q2 f = A A B G G G S D S D S Q3 Q4 f= A+B Q5 V SS D V SS a) Cổng NOT b) Cổng NOR 63

3.4.5.2. NMOS Mạch điện của họ cổng này chỉ dùng MOSFET có kênh dẫn loại N. V DD V DD Q1 1 f Q1 f A Q2 A Q2 B Q3 B Q3 V SS V SS a) Cổng NAND b) Cổng NOR 64

3.4.5.3. CMOS CMOS Complementary MOS. Mạch điện của họ cổng logic này sử dụng cả hai loại MOS FET kênh dẫn P và kênh dẫn N. Bởi vậy có hiện tƣợng bù dòng điện trong mạch. Chính vì thế mà công suất tiêu thụ của họ cổng, đặc biệt trong trạng thái tĩnh là rất bé. V DD V DD S S A G G S D D Q1 Q2 f A G D Q1 G G D D S Q2 Q3 f S B Q4 a) Cổng NOT b) Cổng NAND 65

3.4.5.5. Cổng truyền dẫn Dựa trên công nghệ CMOS, ngƣời ta sản xuất loại cổng có thể cho qua cả tín hiệu số lẫn tín hiệu tƣơng tự. Bởi vậy cổng đƣợc gọi là cổng truyền dẫn G Q1 Vào/Ra S D +5V Ra/Vào Vào/Ra Ra/Vào D S Q2 Điều khiển G a) Mạch điện b) Ký hiệu 66

3.4.6. Họ ECL ECL (Emitter Coupled Logic) là họ cổng logic có cực E của một số bán dẫn nối chung với nhau. Họ mạch này cũng sử dụng công nghệ TTL, nhƣng cấu trúc mạch có những điểm khác hẳn với họ TTL. Lối vào D C B A Q1 Q2 Q3 R5 Q4 R6 Q5 Q6-1,29 V R8 D1 D2 Q7 Q8 Lối ra OR +Vcc Lối ra NOR Ra - 0,9 V R1 R2 R3 R4 RE R7 R9-1,75 V -Vcc = - 5V - 1,4 V - 1,2 V Vào a) Mạch điện nguyên lý b) Đồ thị mức vào/ra 67

3.5. Giao tiếp giữa các cổng logic cơ bản Giao tiếp giữa TTL và CMOS Giao tiếp giữa CMOS và TTL 68

3.5.1. Đặc điểm của họ TTL và CMOS khi sử dụng và ghép nối. Đối với cổng TTL + Tất cả các đầu vào của cổng TTL để hở sẽ hoạt động nhƣ mức logic 1 (do diode base-emitter của transistor của mạch vào không đƣợc phân cực thuận). Trƣờng hợp này gọi là thả nổi đầu vào. + Khi không sử dụng một đầu vào nào đó của cổng thì ta phải nối nó với đất hoặc dƣơng nguồn sao cho chức năng của cổng không bị thay đổi. + Không đƣợc nối trực tiếp hai đầu ra của hai cổng TTL với nhau. Trong trƣờng hợp này phải sử dụng cổng collector để hở -OC. 69

3.5.1. Đặc điểm của họ TTL và CMOS khi sử dụng và ghép nối. Headline (Times New Roman BÀI GIẢNG Black MÔN : 36pt.) Đối với cổng CMOS. + Không đƣợc phép thả nổi các đầu vào không đƣợc sử dụng đến mà phải nối chúng với đất hoặc dƣơng nguồn hoặc đầu khác sao cho chức năng của cổng không bị thay đổi. + Điện trở đầu vào cao gây nên hiện tƣợng tích tụ hạt tĩnh điện, dẫn đến phát sinh điện thế có thể đủ lớn để đánh thủng lớp điện môi mỏng giữa cực G và kênh dẫn. Do vậy ngƣời ta chế tạo lƣới diode điện trở ở đầu vào nhằm bảo vệ transistor. + Điện trở đầu ra thƣờng nhỏ nên tốc độ chuyển mạch tƣơng đối nhanh. 70

Headline (Times New Roman BÀI GIẢNG Black MÔN : 36pt.) 3.5.2.1 Giao tiếp giữa họ TTL+CMOS Cùng điện áp cung cấp +5V. Trong trƣờng hợp này điện áp ra của TTL nhỏ hơn so với điện áp vào của CMOS. Do vậy ta phải dùng mạch bổ sung để tƣơng hợp hai loại IC khác nhau. Giải pháp tiêu chuẩn là dùng điện trở kéo lên (điện trở pull-up) giữa điều khiển TTL và tải CMOS nhƣ hình 3-56. + 5V R p Điều khiển TTL Tải CMOS Điều khiển TTL và tải CMOS 71

Headline (Times New Roman BÀI GIẢNG Black MÔN : 36pt.) 3.5.2.1 Giao tiếp giữa họ TTL+CMOS Khác điện áp cung cấp +5V. Điện áp cung cấp dùng cho IC CMOS thích hợp nhất là từ +9V đến +12V. Một cách dùng để điện áp cung cấp lớn là sử dụng IC TTL hở mạch Collector nhƣ ở hình sau, vì tầng ra của TTL hở cực C chỉ gồm transistor nhận dòng với cực C thả nổi. Hình 3-57. Điều khiển TTL hở mạch Collector và tải CMOS 72

3.5.2.2 Giao tiếp giữa họ CMOS + TTL Cùng điện áp cung cấp +5V. Giải pháp tiêu chuẩn là dùng điện trở kéo lên (điện trở pullup) giữa điều khiển TTL và tải CMOS nhƣ hình 3-56. Khác điện áp cung cấp. Điện áp cung cấp dùng cho IC CMOS thích hợp nhất là từ +9V đến +12V. Một cách dùng để điện áp cung cấp lớn là sử dụng IC TTL hở mạch Collector nhƣ ở hình 3-57, vì tầng ra của TTL hở cực C chỉ gồm transistor nhận dòng với cực C thả nổi.

Chƣơng 1: Hệ đếm Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 3: Cổng logic TTL và CMOS Chƣơng 4: Mạch logic tổ hợp Chƣơng 5: Mạch logic tuần tự Chƣơng 6: Mạch phát xung và tạo dạng xung Chƣơng 7: Bộ nhớ bán dẫn. Chƣơng 8: cấu kiện logic khả trình (PLD) Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL)

Headline (Times New Roman BÀI GIẢNG Black MÔN : ĐIỆN 36pt.) TỬ SỐ CHƢƠNG 4 Mạch logic tổ hợp 75

Nội dung Phân tích mạch logic tổ hợp Thiết kế mạch logic tổ hợp Mạch mã hóa và giải mã Bộ hợp kênh và phân kênh Mạch cộng Mạch so sánh Mạch tạo và kiểm tra chẵn lẻ Đơn vị số học và logic (ALU) Hazzards 76

Khái niệm chung Mạch logic tổ hợp có thể có n lối vào và m lối ra. Mỗi lối ra là một hàm của các biến vào. Quan hệ vào, ra này đƣợc thể hiện bằng hệ phƣơng trình tổng quát sau: Y 0 = f 0 (x 0, x 1,, x n-1 ); Y x 0 1 = f 1 (x 0, x 1,, x n-1 ); Y x 0 1 Y 1 Mạch logic Y tổ hợp m-1 = f m-1 (x 0, x 1,, x n-1 ). x n-1 Y m-1 Đặc điểm nổi bật của mạch logic tổ hợp là hàm ra chỉ phụ thuộc các biến vào mà không phụ thuộc vào trạng thái của mạch. Do đó, trạng thái ra chỉ tồn tại trong thời gian có tác động vào. 77

4.1. Phân tích mạch logic tổ hợp Mạch tổ hợp có thể bao gồm hai hay nhiều tầng, mức độ phức tạp của của mạch cũng rất khác nhau. Các bƣớc thực hiện: Nếu mạch đơn giản thì ta tiến hành lập bảng trạng thái, viết biểu thức, rút gọn, tối ƣu (nếu cần) và cuối cùng vẽ lại mạch điện. Nếu mạch phức tạp thì ta tiến hành phân đoạn mạch để viết biểu thức, sau đó rút gọn, tối ƣu (nếu cần) và cuối cùng vẽ lại mạch điện. 78

4.2. Thiết kế mạch logic tổ hợp Các bƣớc thiết kế : 1. Phân tích bài toán đã cho để gắn hàm và biến, xác lập mối quan hệ logic giữa hàm và các biến đó; 2. Lập bảng trạng thái tƣơng ứng; 3. Từ bảng trạng thái có thể viết trực tiếp biểu thức đầu ra hoặc thiết lập bảng Cac nô tƣơng ứng; 4. Dùng phƣơng pháp thích hợp để rút gọn, đƣa hàm về dạng tối giản hoặc tối ƣu theo mong muốn; 5. Vẽ mạch điện thể hiện. 79

4.3. Mạch mã hóa Mạch mã hoá Mạch mã hoá từ thập phân sang BCD 8421 Mạch mã hoá ƣu tiên 80

4.3.1. Mạch mã hoá từ thập phân sang BCD 8421 Sơ đồ khối tổng quát của mạch mã hoá Vào Thập phân Sơ đồ khối của mạch mã hóa 1 2 3 4 Mạch 5 mã hoá 6 7 8 9 A 8 B 4 C 2 D 1 Ra BCD 8421 Từ bảng trạng thái ta viết đƣợc các hàm ra: A = 8 +9 = (8,9) B = 4 + 5 + 6 + 7 = ( 4,5,6,7) C = 2 + 3 + 6 + 7 = (2,3,6,7) D = 1 + 3 + 5 + 7 + 9 = (1,3,5,7,9) Bảng trạng thái Vào thập phân Ra BCD 8 4 2 1 1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 0 1 0 1 6 0 1 1 0 7 0 1 1 1 8 1 0 0 0 9 1 0 0 1 81

4.3.1. Mạch mã hoá từ thập phân sang BCD 8421 (tiếp) Căn cứ hệ phƣơng trình, ta xây dựng đƣợc mạch điện của bộ mã hoá. Hoặc dùng ma trận diode (cổng OR) để xây dựng R 4 R 3 R 2 R 1 +5V Hoặc có thể đƣợc viết lại nhƣ sau (dùng định lý DeMorgan) và dùng ma trận diode (cổng AND) để xây dựng mạch: A B C D 8 9 8. 9 4 5 6 7 2 3 6 7 1 3 5 7 9 4. 5. 6 2. 3. 6.. 7 7 1. 3. 5. 7. 9 1 2 3 4 5 6 7 8 9 A B C D Mạch điện của bộ mã hoá dùng diode 82

4.3.2. Mạch mã hóa ƣu tiên Trong bộ mã hoá vừa xét trên, tín hiệu vào tồn tại độc lập, (không có trƣờng hợp có 2 tổ hợp trở lên đồng thời tác động). Xét nguyên tắc hoạt động và quá trình thiết kế của bộ mã hoá ƣu tiên 9 lối vào, 4 lối ra. Vào thập phân Ra BCD 1 2 3 4 5 6 7 8 9 8 4 2 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 X 1 0 0 0 0 0 0 0 0 0 1 0 X X 1 0 0 0 0 0 0 0 0 1 1 X X X 1 0 0 0 0 0 0 1 0 0 X X X X 1 0 0 0 0 0 1 0 1 X X X X X 1 0 0 0 0 1 1 0 X X X X X X 1 0 0 0 1 1 1 X X X X X X X 1 0 1 0 0 0 X X X X X X X X 1 1 0 0 1 83

4.3.2. Mạch mã hóa ƣu tiên (tiếp) D sẽ lấy logic 1 ứng với đầu vào là 1, 3, 5, 7, 9. Tuy nhiên, lối vào 1 chỉ hiệu lực khi tất cả các lối vào cao hơn đều bằng 0; lối vào 3 chỉ có hiệu lực khi 4, 6, 8 đều bằng 0 và tƣơng tự đối với 5, 7, 9, nghĩa là: D =1.2.4.6.8 +3.4.6.8 +5.6.8 +7.8 +9 1= 1 và 2,4,6,8 bằng 0 3= 1 và 4,6,8 bằng 0 D= 1 nếu 5= 1 và 6,8 bằng 0 7= 1 và 8 bằng 0 9= 1 C = 2.4.5.8.9 + 3.4.5.8.9 + 6.8.9 + 7.8.9 B = 4.8.9 + 5.8.9 + 6.8.9 + 7.8.9 A = 8 + 9 84

4.4. Mạch giải mã 1. Dụng cụ 7 đoạn Để hiển thị chữ số của một hệ đếm phân bất kỳ, ta có thể dùng dụng cụ 7 đoạn. Cấu tạo của nó nhƣ chỉ ở hình 4-15. Các đoạn đƣợc hình thành bằng nhiều loại vật liệu khác nhau, nhƣng phải có khả năng hiển thị đƣợc trong các điều kiện ánh sáng khác nhau và tốc độ chuyển mạch phải đủ lớn. Trong kĩ thuật số, các đoạn thƣờng đƣợc dùng là LED hoặc tinh thể lỏng (LCD). LED có hai loại chính: LED Anôt chung và Ktốt chung. Do đó, logic của tín hiệu điều khiển hai loại này là ngƣợc nhau. f e a g d Cấu tạo dụng cụ 7 đoạn sáng b c 85

D C B A 4.4.2 Mạch giải mã 7 đoạn 1 2 4 8 Mạch giải mã 7 đoạn a b c d e f g b) Sơ đồ khối của mạch giải mã 7 đoạn sáng Do đó, ta viết đƣợc hàm ra: a = (0,2,3,5,6,7,8,9). Tƣơng tự, ta có: b = (0,1,2,3,4,7,8,9), c = (0,1,3,4,5,6,7,8,9), d = (0,2,3,5,6,8,9), e = (0,2,6,8), f = (0,4,5,6,8,9), g = (2,3,4,5,6,8,9). A B C D a b c d e f g 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 1 0 0 1 1 1 1 1 0 0 1 0 0 0 1 0 0 1 0 2 0 0 1 1 0 0 0 0 1 1 0 3 0 1 0 0 1 0 0 1 1 0 0 4 0 1 0 1 0 1 0 0 1 0 0 5 0 1 1 0 0 1 0 0 0 0 0 6 0 1 1 1 0 0 0 1 1 1 1 7 1 0 0 0 0 0 0 0 0 0 0 8 1 0 0 1 0 0 0 0 1 0 0 9 Bảng trạng thái bộ giải mã IC 7447, 74247 (Anốt chung), 7448 (K chung ), 4511 (CMOS) là các IC giải mã từ NBCD sang thập phân theo phƣơng pháp hiển thị 7 đoạn. Hiển thị 86

4.4.3. Mạch giải mã nhị phân Bộ giải mã nhị phân còn có tên là bộ giải mã "1 từ n", bộ giải mã địa chỉ hoặc bộ chọn địa chỉ nhị phân. Chức năng của nó là lựa chọn duy nhất một lối ra (lấy giá trị 1 hoặc 0), khi tác động tới đầu vào một số nhị phân. A 0 A 1 A n-1 E A 1 A 0 D 0 D 1 D 2 D 3 0 x x 0 0 0 0 1 0 0 1 0 0 0 1 0 1 0 1 0 0 1 1 0 0 0 1 0 1 1 1 0 0 0 1 Bảng trạng thái Bộ giải mã nhị phân D 0 D 1 Sơ đồ khối của bộ giải mã nhị phân D E.A.A 0 1 0 D E.A.A 1 1 0 D E.A.A 2 1 0 D E.A.A 3 1 0 D 2n- 1 87

4.5. Bộ hợp kênh và phân kênh Bộ hợp kênh (MUX-Multiplexer) Định nghĩa: Bộ hợp kênh là mạch có 2 n lối vào dữ liệu, n lối vào điều khiển, 1 lối vào chọn mạch và 1 lối ra. Tuỳ theo giá trị của n lối vào điều khiển mà lối ra sẽ bằng một trong những giá trị ở lối vào (X j ). Nếu giá trị thập phân của n lối vào điều khiển bằng j thì Y = X j. Bộ phân kênh (DEMUX-DeMultiplexer) Định nghĩa: Bộ phân kênh là mạch có 1 lối vào dữ liệu, n lối vào điều khiển, 1 lối vào chọn mạch và 2 n lối ra. Tuỳ theo giá trị của n lối vào điều khiển mà lối ra thứ i (Y i ) sẽ bằng giá trị của lối vào. Cụ thể nếu gọi n lối vào điều khiển là A n-1 A n-2 A 0 thì Y i = X khi (A n-1 A n-2 A 0 ) 2 = (i) 10. 88

4.5.1. Bộ hợp kênh (MUX-Multiplexer) Phƣơng trình tín hiệu ra của MUX 2 n 1: Y X (A A...A...A ) X (A A...A...A )... X (A A...A...A A ) E n 0 n 1 n 2 i 0 1 n 1 n 2 i 0 n n 1 n 2 i 1 0 2 1 X 0 X 1 X j X 2 n -1 MUX 2 n 1 A n-1 A n-2 A 0 n lối vào điều khiển (a) Sơ đồ khối Y- Lối ra X 0 X 1 Thực chất, MUX là chuyển mạch điện tử dùng các tín hiệu điều khiển (A n-1 A n-2 A 0 ) để điều khiển sự nối mạch của lối ra với 1 trong số 2 n lối vào. MUX đƣợc dùng nhƣ 1 phần tử vạn năng để xây dựng những mạch tổ hợp khác. IC 74151 là bộ MUX 8 lối vào dữ liệu - 1 lối ra. X j X 2 n -1 Bộ hợp kênh MUX 2 n 1 (b). MUX là một chuyển mạch điện tử Y E E A 0 A 1 A 2 1 2 74151 Vào khiển Vào liệu điều Vào cho phép dữ 89

G Headline (Times New Roman BÀI GIẢNG Black MÔN : 36pt.) 4.5.1. Bộ hợp kênh (MUX-Multiplexer) B A Y X X H L L L H H L H L H L L L L D 0 D 1 D 2 D 3 Bảng chức năng của bộ hợp kênh 4:1. G D 0 D 1 D 2 Y D 3 B A Hình 4-8. Sơ đồ logic bộ MUX 4:1 90

4.5.2. Bộ phân kênh (DEMUX-DeMultiplexer) Phƣơng trình tín hiệu ra của DEMUX 1 2 n : Lối vào E n Chọn mạch X MUX 2 n 1 Y 0 Y 1 Y j Y 2 n -1 X Lối vào Y 0 Y 1 Y j Y 2 n -1 0 n Y X.A A...A...A n 1 n 2 i 0 Y X.A A...A...A A 1 n 1 n 2 i 1 0... Y X.A.A...A...A 2 1 n 1 n 2 i 0 A n-1 A n-2 A 0 n lối vào điều khiển (a) Sơ đồ khối (b). DEMUX là một chuyển mạch điện tử Hình 4-19. Bộ phân kênh DEMUX 1 2 n Bộ phân kênh còn đƣợc gọi là bộ giải mã 1 trong 2 n. Tại một thời điểm chỉ có 1 trong số 2 n lối ra ở mức tích cực. IC 74138 là bộ DEMUX 1 lối vào dữ liệu - 8 lối ra. A 0 A 1 A 2 74138 Vào điều khiển Vào dữ liệu Vào cho phép 91

Headline (Times New Roman BÀI GIẢNG Black MÔN : 36pt.) 4.5.2. Bộ phân kênh (DEMUX-DeMultiplexer) B A Y 0 Y 1 Y 2 Y 3 0 0 D 0 0 0 0 1 0 D 0 0 1 0 0 0 D 0 1 1 0 0 0 D Bảng 4-7. Bảng trạng thái của bộ phân kênh 1 vào 4 ra. 92

4.6. Mạch cộng. 4.6.1. Mạch bán tổng A i B i S i C i 0 0 0 0 0 1 1 0 1 1 0 1 Bảng 4-8. Bảng trạng thái của mạch HA 1 1 S A B i i i C A.B i i i 0 0 a) b) A i B i Ai Bi HA Si Ci S i C i a) Sơ đồ khối của HA, b) Sơ đồ logic

4.6. Mạch cộng. 4.6.2. Mạch toàn tổng Bảng trạng thái C i-1 a i b i S i C i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 S a b C i i i i 1 C a bc a bc a bc i i i i 1 i i i 1 i i i 1 C a b a b C i i i i i i 1 C i-1 a i b i C i-1 G i P i a) Mạch điện S i TT a i b i b) Ký hiệu P i C i G i S i C i 94

4.6.3. Mạch cộng nhị phân song song Ta có thể ghép nhiều bộ cộng hai số nhị một bit lại với nhau để thực hiện phép cộng hai số nhị phân nhiều bit. Sơ đồ khối của bộ cộng đƣợc trình bày ở dƣới, đƣợc gọi là bộ cộng song song S i S 2 S 1 S 0 C Ri Bộ toàn tổng C Vi C R2 Bộ toàn tổng C V2 C R1 Bộ toàn tổng C V1 C R0 Bộ toàn tổng C V0 b i a i b 2 a 2 Sơ đồ khối của bộ cộng nhị phân song song b 1 a 1 b 0 a 0 Một trong những bộ cộng thông dụng hiện nay là 7483. IC này đƣợc sản xuất theo hai loại: 7483 và 7483A với logic vào, ra khác nhau. 95

4.7. Mạch so sánh Trong các hệ thống số, đặc biệt là trong máy tính, thƣờng thực hiện việc so sánh hai số. Hai số cần so sánh có thể là các số nhị phân, có thể là các ký tự đã mã hoá nhị phân. Mạch so sánh có thể hoạt động theo kiểu nối tiếp hoặc theo kiểu song song. Trong phần này, trình bày bộ so sánh theo kiểu song song. Bộ so sánh bằng nhau Bộ so sánh bằng nhau 1 bit Bộ so sánh bằng nhau 4 bit Bộ so sánh Bộ so sánh 1 bit Bộ so sánh 4 bit (So sánh lớn hơn) 96

4.7.1. Bộ so sánh bằng nhau Bộ so sánh bằng nhau 1 bit Xét 2 bit a i và b i, gọi g i là kết quả so sánh. Ta có: g a. b a. b a b i i i i i i i Bộ so sánh bằng nhau 4 bit Sơ đồ logic của hàm ra bộ so sánh bằng 1 bit b i i So sánh hai số nhị phân 4 bit A = a 3 a 2 a 1 a 0 với B = b 3 b 2 b 1 b 0. Có A = B a 3 = b 3, a 2 = b 2, a 1 = b 1, a 0 = b 0. Biểu thức đầu ra tƣơng ứng là: G = g 3 g 2 g 1 g 0 với: a g i Bảng trạng thái của bộ so sánh bằng 1 bit a i b i g i 0 0 1 0 1 0 1 0 0 1 1 1 g a b, g a b, g a b, g a b 3 3 3 2 2 2 1 1 1 0 0 0 97

4.7.2. Bộ so sánh 1 bit Bảng trạng thái của mạch so sánh a i b i f < f = f > 0 0 0 1 0 0 1 1 0 0 1 0 0 0 1 1 1 0 1 0 Mạch điện của bộ so sánh 1 bit a i b i f < f = f > Biểu thức đầu ra: f f a i a i.b i b i f a i.b i 98

4.7.3. Bộ so sánh 4 bit (So sánh lớn hơn) So sánh hai số nhị phân 4 bit A = a 3 a 2 a 1 a 0 với B = b 3 b 2 b 1 b 0. Có A > B khi: hoặc a 3 > b 3, hoặc a 3 = b 3, và a 2 > b 2, hoặc a 3 = b 3, và a 2 = b 2, và a 1 > b 1, hoặc a 3 = b 3, và a 2 = b 2, và a 1 = b 1, và a 0 > b 0. Từ đó ta có biểu thức hàm ra là: f a b a b a b 3. 3 3 3. 2. 2 a b. a b. a. b 3 3 2 2 1 1 a b. a b. a b. a. b 3 3 2 2 1 1 0 0 a 3 b 3 a 2 b 2 a 1 b 1 f > a 0 b 0 Mạch điện của bộ so sánh lớn hơn 4 bit 99

4.8. Mạch tạo và kiểm tra chẵn lẻ Có nhiều phƣơng pháp mã hoá dữ liệu để phát hiện lỗi và sửa lỗi khi truyền dữ liệu từ nơi này sang nơi khác. Phƣơng pháp đơn giản nhất là thêm một bit vào dữ liệu đƣợc truyền đi sao cho số chữ số 1 trong dữ liệu luôn là chẵn hoặc lẻ. Bit thêm vào đó đƣợc gọi là bit chẵn/lẻ. Để thực hiện đƣợc việc truyền dữ liệu theo kiểu đƣa thêm bit chẵn, lẻ vào dữ liệu chúng ta phải: Xây dựng sơ đồ tạo đƣợc bit chẵn, lẻ để thêm vào n bit dữ liệu. Xây dựng sơ đồ kiểm tra hệ xem đó là hệ chẵn hay lẻ với (n + 1) bit ở đầu vào (n bit dữ liệu, 1 bit chẵn/lẻ). 100

4.8.1. Mạch tạo bit chẵn/lẻ n bit dữ liệu Tạo bit chẵn/lẻ X o X e Sơ đồ khối tổng quát của mạch tạo bit chẵn/lẻ Xét trƣờng hợp 3 bit dữ liệu d 1, d 2, d 3 Gọi X e, X 0 là 2 bit chẵn, lẻ thêm vào dữ liệu. Từ bảng trạng thái ta thấy X X hay X X o e e o Và biểu thức của X 0 và X e là X d d d e 1 2 3 X X d d d o e 1 2 3 Bảng trạng thái của mạch tạo bit chẵn lẻ Vào Ra d 1 d 2 d 3 X e X o 0 0 0 0 1 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 0 101

4.8.2. Mạch kiểm tra chẵn/lẻ Từ bảng trạng thái của mạch kiểm tra tính chẵn/lẻ ta thấy: F e = 1 nếu hệ là chẵn (F e chỉ ra tính chẵn của hệ). F o = 1 nếu hệ là lẻ (F o chỉ ra tính lẻ của hệ). Hai hàm kiểm tra chẵn/lẻ luôn là phủ định của nhau. Mặt khác do tính chất của hàm cộng XOR, ta có: F o = d 1 d 2 d 3 X F e = F o n bit dữ liệu Kiểm tra hệ chẵn/lẻ F o Bit chẵn lẻ (X o, X e ) Sơ đồ khối của mạch kiểm tra chẵn/lẻ F e Vào Ra d 1 d 2 d 3 X F o F e 0 0 0 0 0 1 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 1 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 0 1 0 0 0 1 0 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 0 1 1 0 0 0 1 1 1 0 1 1 0 1 1 1 0 1 0 1 1 1 1 0 1 102

74LS180 8 9 10 11 12 13 1 2 4 3 OI EI I 0 I 1 I 2 I 3 I 4 I 5 I 6 I 7 54/74180 E O 5 6 V CC = 14 GND = 7 103

4.9. Đơn vị số học và logic (ALU) 4 4 Thanh ghi A Thanh ghi B 4 4 4 ALU 4 Ghi trạng thái C in M (Mode) F Chọn chức năng 0 F (Phép tính) 1 Sơ đồ khối của ALU 4 bit Đơn vị số học và logic (Arithmetic Logic Unit) là một thành phần cơ bản không thể thiếu đƣợc trong các máy tính. Nó bao gồm 2 khối chính là khối logic và khối số học và một khối ghép kênh. Khối logic: Thực hiện các phép tính logic nhƣ là AND, OR, NOT, XOR. Khối số học: Thực hiện các phép tính số học nhƣ là: cộng, trừ, tăng 1, giảm 1. 104

4.10. Hazzards/Glitch Hazard còn đƣợc gọi là sự "sai nhầm", hoạt động lúc đƣợc lúc không của mạch logic. Sự "sai nhầm" này có thể xảy ra trong một mạch điện hoàn toàn không bị hỏng linh kiện làm cho mạch hoạt động không có sự tin cậy. Hiện tƣợng của Hazard trong mạch tổ hợp có thể gặp là: - Hazard chỉ xuất hiện một lần và không bao giờ gặp lại nữa. - Hazard có thể xuất hiện nhiều lần (theo một chu kỳ nào đó hoặc không theo một chu kỳ nào). - Hazard có thể do chính chức năng của mạch điện gây ra. Đây là trƣờng hợp khó giải quyết nhất khi thiết kế. 105

4.10. Hazzards Phƣơng pháp khắc phục Hazard x 1 2 1 3 x 2 x 3 1 2 106

Nội dung Chƣơng 1: Hệ đếm Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 3: Cổng logic TTL và CMOS Chƣơng 4: Mạch logic tổ hợp Chƣơng 5: Mạch logic tuần tự Chƣơng 6: Mạch phát xung và tạo dạng xung Chƣơng 7: Bộ nhớ bán dẫn. Chƣơng 8: cấu kiện logic khả trình (PLD) Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL) 107

Headline (Times New Roman BÀI GIẢNG Black MÔN : ĐIỆN 36pt.) TỬ SỐ CHƢƠNG 5. MẠCH LOGIC TUẦN TỰ 108

Nội dung Khái niệm chung và mô hình toán học Phần tử nhớ của mạch tuần tự Phƣơng pháp mô tả mạch tuần tự Phân tích mạch tuần tự Thiết kế mạch tuần tự Mạch tuần tự đồng bộ Mạch tuần tự không đồng bộ Hiện tƣợng chu kỳ và chạy đua trong mạch không đồng bộ Một số mạch tuần tự thông dụng 109

5.1. Khái niệm chung và mô hình toán học Khái niệm chung Mạch logic tuần tự hay còn gọi là mạch dãy - Sequential Circuit. Hoạt động của hệ này có tính chất kế tiếp nhau, tức là trạng thái hoạt động của mạch điện không những phụ thuộc trực tiếp lối vào mà còn phụ thuộc vào trạng thái bên trong trƣớc đó của chính nó. Nói cách khác các hệ thống này làm việc theo nguyên tắc có nhớ. Mô hình toán học Z = f(q, X) X - tập tín hiệu vào. Q - tập trạng thái trong trƣớc đó của mạch. W - hàm kích. Z - các hàm ra Biểu diễn khác: Z = f (Q(n), X); Q (n +1) = f (Q(n), X) Q(n +1): là trạng thái tiếp theo của mạch. Q(n): là trạng thái bên trong trƣớc đó. x 1 x 2 x i Mạch tổ hợp Q 1 Q l W 1 Mạch nhớ 110 W k Sơ đồ khối của mạch tuần tự. z 1 z 2 z j

5.2. Trigơ Phần tử nhớ của mạch tuần tự Định nghĩa: Trigơ là phần tử có khả năng lƣu trữ (nhớ) một trong hai trạng thái 0 và 1. PR Cấu trúc Trigơ có từ 1 đến một vài lối điều khiển, có hai lối ra luôn luôn ngƣợc nhau là Q và Q. Tuỳ từng loại trigơ có thể có thêm các lối vào lập (PRESET) và lối vào xoá (CLEAR). Ngoài ra, trigơ còn có lối vào đồng bộ (CLOCK). Hình bên là sơ đồ khối tổng quát của trigơ. Phân loại: Các lối vào điều khiển Clock TRIGƠ Theo chức năng làm việc của của các lối vào điều khiển: Trigơ 1 lối vào nhƣ trigơ D, T; Trigơ 2 lối vào nhƣ trigơ RS, trigơ JK. Theo phƣơng thức hoạt động : Trigơ không đồng bộ Trigơ đồng bộ, có hai loại: trigơ thƣờng và trigơ chính-phụ (Master-Slave). CLR Q Q 111

5.2.1. Trigơ RS (1) Trigơ RS là loại có hai lối vào điều khiển S, R. Chân S gọi là lối vào "lập" (SET) và R đƣợc gọi là lối vào "xoá" (RESET). Sơ đồ khối: S R Q Q S C R Q Q S C R S S Q Q Q S R Q Q Sơ đồ nguyên lý của trigơ RS và RS đồng bộ R R Q Bảng TT của trigơ RS S R Q k Mod hoạt động 0 0 Q Nhớ 0 1 0 Xóa 1 0 1 Lập 1 1 X Cấm Bảng TT của trigơ RS đồng bộ cổng NAND C S R Q k Mod hoạt động 0 X X Q Nhớ 1 0 0 Q Nhớ 1 0 1 0 Xóa 1 1 0 1 Lập 1 1 1 X Cấm 112

5.2.1. Trigơ RS (2) Tri gơ RS không đồng bộ Bảng trạng thái Q R S Q k 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 X 1 0 0 1 1 0 1 1 1 1 0 0 1 1 1 X Q Bảng Các nô RS 00 01 11 10 0 0 1 X 0 1 1 1 X 0 Đồ hình trạng thái x0/ K Phƣơng trình đặc trƣng Q = S+ R.Q RS = 0(dieu kien de tranh tohopcam) R S 01/ 0 1 10/ Q Q 0x/ 113

5.2.2. Trigơ D Trigơ D là loại trigơ có một lối vào điều khiển D. Biểu thức: Q k = D, mỗi khi xuất hiện xung nhịp C. Sơ đồ khối: D Q Q Bảng trạng thái Q D Q k 0 0 0 0 1 1 1 0 0 1 1 1 0/ D C Q Q Đồ hình trạng thái 1/ 0 1 0/ Ứng dụng: thƣờng dùng làm bộ ghi dịch dữ liệu hay bộ chốt dữ liệu. D C 1/ CP D Q n-1 Q Q 114

5.2.3. Trigơ T Trigơ T là loại trigơ có một lối vào điều khiển T. Mỗi khi có xung tới lối vào T thì lối ra Q sẽ thay đổi trạng thái. Biểu thức: Sơ đồ khối: T Q K Q = TQ+TQ = T T Q Q T T TQ k Q =TQ+TQ Q Bảng trạng thái T Q Q k 0 0 0 0 1 1 1 0 1 1 1 0 C Q Bảng trạng thái rút gọn T Q k 0 Q 1 Q_ Q Đồ hình trạng thái 1/ 0 1 0/ Q 1/ TQ 115 0/

5.2.4. Trigơ JK (1) Trigơ JK là loại trigơ có hai lối vào điều khiển J, K. Ƣu điểm hơn trigơ Q RS là không còn tồn tại tổ hợp cấm bằng các đƣờng hồi tiếp từ Q về chân R và từ về S. Trigơ JK còn có thêm đầu vào đồng bộ C. Trigơ có thể lập hay xoá trong khoảng thời gian ứng với sƣờn âm hoặc sƣờn dƣơng của xung đồng bộ C. Ta nói, trigơ JK thuộc loại đồng bộ. 116

5.2.4. Trigơ JK (2) J C K Bảng TT đầy đủ J K Q Q k 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 U5 NAND_3 U6 NAND_3 U7 NAND_2 U8 NAND_2 Bảng TT rút gọn J K Q k 0 0 Q 0 1 0 1 0 1 1 1 Q Q Q_ J K U1 NAND_2 U2 NAND_2 Bảng TT của trigơ JK đồng bộ C J K Q k 0 X X Q 0 0 Q 1 0 1 0 1 0 1 1 1 Q U3 NAND_2 U4 NAND_2 Phƣơng trình đặc trƣng Q J.Q K.Q n 1 n n Q Q_ 117

5.3. Bảng hàm kích thích của các loại Trigơ Q Q k S R J K T D 0 0 0 X 0 X 0 0 0 1 1 0 1 X 1 1 1 0 0 1 X 1 1 0 1 1 X 0 X 0 0 1 118

5.4. Trigơ Chính-Phụ (Master-Slave) Do các loại trigơ đồng bộ trên đều hoạt động tại sƣờn dƣơng hay sƣờn âm của xung nhịp nên khi làm việc ở tần số cao thì lối ra Q không đáp ứng kịp với sự thay đổi của xung nhịp, dẫn đến mạch hoạt động ở tình trạng không đƣợc tin cậy. Lối ra của trigơ MS thay đổi tại sƣờn dƣơng và sƣờn âm của xung nhịp, nên cấu trúc của nó gồm 2 trigơ giống nhau nhƣng cực tính điều khiển của xung Clock thì ngƣợc nhau để đảm bảo sao cho tại mỗi sƣờn của xung sẽ có một trigơ hoạt động. C > TRIGƠ > TRIGƠ MASTER SLAVE 119

5.5. Lối vào không đồng bộ của Trigơ Các lối vào dữ liệu thông thƣờng của trigơ nhƣ D, S, R, J hoặc K là những lối vào đồng bộ Các trigơ còn có thêm 2 đầu vào không đồng bộ, các lối này tác động trực tiếp lên các lối ra mà không phụ thuộc vào xung Clock Các lối vào này thƣờng đƣợc ký hiệu là: PRE (lập) và CLR (R - xóa) hoặc PRE và CLR (R) 120

5.6. Một số IC Trigơ thông dụng Trigơ JK: IC 54/7473- IC này gồm hai trigơ JK có lối vào xóa và không có lối vào lập hoạt độngtại sƣờn âm của xung Clock Trigơ D: IC 54/7474- IC này gồm hai trigơ D có lối vào xóa và lối vào lập, hoạt động tại sƣờn dƣơng của xung Clock Trigơ JK: IC 54/7476- IC này gồm hai trigơ JK có lối vào xóa và lối vào lập, hoạt động tại sƣờn âm của xung Clock. J 1 Q1 Q 1 GND K 2 Q 2 Q2 14 13 12 11 10 9 8 V CC CLR 2 D 2 CLK 2 PR 2 Q 2 Q2 14 13 12 11 10 9 8 K 1 Q 1 Q GND 1 K 2 Q 2 Q J 2 2 16 15 14 13 12 11 10 9 1 2 3 4 5 6 7 CLK 1 CLR 1 K 1 V CC CLK 2 CLR 2 J 2 1 2 3 4 5 6 7 CLK 1 D 1 CLK 1 PR 1 Q 1 Q 1 GND 1 2 3 4 5 6 7 CLK 1 PR 1 CLR 1 J 1 V CC CLK 2 PR 2 CLR 2 8 121

5.7. Phƣơng pháp mô tả mạch tuần tự Phƣơng trình logic (hay phƣơng pháp đại số) Dùng các phƣơng trình logic để mô tả trạng thái và đầu ra. Bảng trạng thái Bảng chuyển đổi trạng thái Bảng tín hiệu ra Đồ hình trạng thái Mô hình Mealy thực hiện ánh xạ Mô hình Moore Đồ thị dạng xung 122

5.7.1. Bảng trạng thái (1) Bảng chuyển đổi trạng thái Bao gồm các hàng và các cột Các hàng ghi các trạng thái trong các cột ghi các giá trị của tín hiệu vào. Các ô ghi giá trị các trạng thái trong kế tiếp mà mạch sẽ chuyển đến ứng với các giá trị ở hàng và cột Tín hiệu vào Trạng thái trong V S S 1 V1 V2. Vn Trạng thái kế tiếp Qk S 2.. S n 123

5.7.2. Bảng trạng thái (2) Bảng tín hiệu ra Các hàng của bảng ghi các trạng thái trong Các cột ghi các tín hiệu vào. Các ô ghi giá trị của tín hiệu ra tƣơng ứng. Tín hiệu vào Trạng thái trong V S S 1 V 1 V 2. V n Tín hiệu ra S 2 : : S n 124

5.7.2. Bảng trạng thái (3) Trạng thái trong V S S 1 S 2 : Tín hiệu vào V 1 V 2. Q Q n 1 1 n 1 2 V n / Z / Z Trạng thái kế tiếp Q n+1 và tín hiệu ra Z S n Q n 1 i / Z Bảng 5-12. Bảng chuyển đổi trạng thái và tín hiệu ra 125

5.7.3. Đồ hình trạng thái Đồ hình trạng thái là hình vẽ phản ánh quy luật chuyển đổi trạng thái và tình trạng các giá trị ở lối vào và lối ra tƣơng ứng của mạch tuần tự. Đồ hình trạng thái là một đồ hình có hƣớng gồm hai tập: M - Tập các đỉnh và K - Tập các cung có hƣớng. Mô hình Mealy Mô hình Moore 1/ 0/ 0 1 0/ Q D Q k 0 0 0 0 1 1 1 0 0 1 1 1 1/ 126

5.7.4. Phân tích mạch tuần tự - Lý thuyết Viết chƣơng trình logic: Viết chƣơng trình logic cho lối vào đồng bộ, chỉ ra điểu kiện chuyển trạng thái của các phần tử nhớ. Xác định hàm ra: Tìm hàm kích thích: Căn cứ loại TG để tìm kích thích, phƣơng trình chuyển đổi trạng thái (chính là phƣơng trình đặc trƣng của TG đã cho). Phƣơng trình chuyển đổi trạng thái: Xác định số tổ hợp trạng thái và thay các tổ hợp này vào các phƣơng trình kích thích, phƣơng trình chuyển đổi trạng thái để tính bảng chuyển đổi trạng thái. Vẽ đồ hình trạng thái dƣới dạng nhị phân hoặc dạng rút gọn Viết c.trình logic Xác định hàm ra Tìm hàm kích thích Pt chuyển đổi TT Đồ hình trạng thái Vẽ đồ thị dạng xung gồm: Xung đồng hồ, Xung của mỗi biến trạng thái, Xung ra. Đồ thị dạng xung Các bước phân tích mạch tuần tự 127

5.7.4. Phân tích mạch tuần tự - Ví dụ Bước 1: Sơ đồ có hai đầu vào là tín hiệu X và xung nhịp Clock. Có một tín hiệu Z ra, mạch sử dụng hai phần tử nhớ là hai trigơ JK (Q 0 và Q 1 ). Clock 1 J 0 Q 0 > > K 0 Q0 X J 1 Q 1 K 1 Q1 Z Bước 2: Xác định đầu vào, đầu ra và số trạng thái trong của mạch. Mạch này có thể đƣợc biểu diễn bằng một hộp đen có hai đầu vào và một đầu ra. Do mạch đƣợc cấu tạo bằng hai trigơ nên số trạng thái có thể có của mạch là 4. Cụ thể là:q 1 Q 0 = 00, 01, 10 và 11. 128

5.7. 4.Phân tích mạch tuần tự - Ví dụ Bước 3: Xác định phƣơng trình hàm ra và hàm kích cho trigơ. Từ sơ đồ trên ta tìm đƣợc: Phƣơng trình hàm ra: Z = C Q 1 Q 0 Phƣơng trình hàm kích J 0 = Q 1 ; K 0 = 1 Q0 X Q0 X Q0 J 1 = ; K 1 = Bước 4. Bảng chuyển đổi trạng thái Q k Phƣơng trình đặc trƣng của trigơ JK là Phƣơng trình chuyển đổi trạng thái: Q J Q K Q Q Q k 0 0 0 0 0 1 0 JQ KQ Q J Q K Q Q Q X Q Q Q Q XQ Q k 1 1 1 1 1 0 1 0 1 0 1 0 1 129

5.7.4. Phân tích mạch tuần tự - Ví dụ Trạng thái hiện tại Trạng thái kế tiếp Tín hiệu ra Q 0 Q 1 X = 0 X = 1 X = 0 Q 0 Q 1 Q 0 Q 1 Z X = 1 Z S 00 01 01 0 0 S 0 01 10 11 0 0 S 0 00 1 S 11 00 00 1 1 S 2 3 10 00 00 0 0. Bảng chuyển đổi trạng thái X S 1 01 X S 3 10 11 S 2 Z = 1 Bước 5: Đồ hình trạng thái. 130

5.7. 4.Phân tích mạch tuần tự - Ví dụ Bƣớc 6: Chức năng của mạch: Trên đồ hình trạng thái ta thấy có hai đƣờng chuyển đổi trạng thái là S 0 S1 S2 S 0 và S0 S1 S3 S 0. Theo đƣờng S0 S1 S2 S 0 thì tín hiệu ra Z = 1 sẽ đƣợc đƣa ra cùng thời điểm có xung nhịp thứ 3. Theo đƣờng S0 S1 S3 S 0 thì không có tín hiệu ra (Z = 0). Do vậy ta sẽ phân tích theo con đƣờng thứ nhất S0 S1 S2 S 0 : Sự chuyển đổi trạng thái đầu tiên từ S0 S1 chỉ nhờ tác động của xung nhịp mà không phụ thuộc vào trạng thái của X. Chuyển đổi trạng thái thứ hai từ S1 S2 nhờ tác động của xung nhịp và sự tác động của tín hiệu vào X = 1. Còn sự chuyển đổi trạng thái thứ ba từ S2 S0 chỉ nhờ tác động của xung nhịp mà không phụ thuộc vào tín hiệu vào. 0 0 1 1 1 0 1 1 X Clock Z = C Q 1 Q 0 0 1 1 0 0 1 1 1 1 2 3 1 2 3 1 2 3 0 Z = Q 1 Q 0 131

5.7.5. Thiết kế mạch tuần tự Bài toán ban đầu: Nhiệm vụ thiết kế đƣợc mô tả bằng ngôn ngữ hoặc bằng lƣu đồ thuật toán. Hình thức hoá: Từ các dữ kiện đề bài cho mà ta mô tả hoạt động của mạch bằng cách hình thức hoá dữ kiện ban đầu ở dạng bảng trạng thái, bảng ra hay đồ hình trạng thái. Sau đó rút gọn các trạng thái của mạch để có đƣợc số trạng thái trong ít nhất. Mã hoá trạng thái: Mã hoá tín hiệu vào ra, trạng thái trong để nhận đƣợc mã nhị phân (hoặc có thể là các loại mã khác) có tập tín hiệu vào là X, tập tín hiệu ra là Y, tập các trạng thái trong là Q. Hệ hàm của mạch: Xác định hệ phƣơng trình logic của mạch và tối thiểu hoá các phƣơng trình này. Nếu mạch tuần tự khi thiết kế cần dùng các trigơ và mạch tổ hợp thì tuỳ theo yêu cầu mà ta viết hệ phƣơng trình cho các lối vào kích cho từng loại trigơ đó. Xây dựng sơ đồ Bài toán ban đầu Hình thức hoá Mã hoá trạng thái Hệ hàm của mạch Sơ đồ Các bước thiết kế mạch tuần tự 132

5.7.5.1.Các bƣớc thiết kế mạch tuần tự đồng bộ Bước 1: Xác định bài toán, gán hàm và biến, tìm hiểu mối quan hệ giữa chúng. Bài toán ban đầu Bước 2: Xây dựng đồ hình trạng thái, bảng chuyển đổi trạng thái và hàm ra. Bước 3: Rút gọn trạng thái (tối thiểu hoá trạng thái). Bước 4: Mã hoá trạng thái. Nếu số lƣợng trạng thái trong là N, số biến nhị phân cần dùng là n thì n phải thoả mãn điều kiện: n log2n. Bước 5: Xác định hệ phƣơng trình của mạch. Có hai cách xác định: + Lập bảng chuyển đổi trạng thái và tín hiệu ra, từ đó xác định các phƣơng trình kích cho các trigơ. + Dựa trực tiếp vào đồ hình trạng thái, viết hệ phƣơng trình Ton, Toff của các trigơ và phƣơng trình hàm ra. Bước 6: Vẽ sơ đồ thực hiện. Xây dựng đồ hình trạng thái bảng chuyển đổi trạng thái Rút gọn trạng thái Mã hóa trạng thái Xác định hệ phƣơng trình Sơ đồ 133

5.7.5.2. Các bƣớc thiết kế mạch tuần tự không đồng bộ Bước 1: Xác định bài toán, gán hàm và biến, tìm hiểu mối quan hệ giữa chúng. Bước 2: Xây dựng đồ hình trạng thái, bảng chuyển đổi trạng thái và hàm ra. Bước 3: Rút gọn trạng thái (tối thiểu hoá trạng thái). Bước 4: Mã hoá trạng thái. Nếu số lƣợng trạng thái trong là N, số biến nhị phân cần dùng là n thì n phải thoả mãn điều kiện: n log2n. Do mạch không đồng bộ hoạt động không có sự tác động của xung nhịp cho nên trong mạch thƣờng có các hiện tƣợng chạy đua làm cho hoạt động của mạch bị sai, vì vậy khi mã hoá trạng thái phải tránh hiện tƣợng này. Bước 5: Xác định hệ phƣơng trình của mạch. Có hai cách xác định: Lập bảng chuyển đổi trạng thái và tín hiệu ra, xác định các phƣơng trình kích cho trigơ. Dựa trực tiếp vào đồ hình trạng thái, viết hệ phƣơng trình Ton, Toff của các trigơ và phƣơng trình hàm ra. Cả hai cách này đều có dạng phƣơng trình: Phƣơng trình của mạch chỉ dùng NAND. Phƣơng trình của mạch dùng trigơ RS không đồng bộ và các mạch NAND. Phƣơng trình của mạch dùng các loại trigơ khác. Bước 6: Vẽ sơ đồ thực hiện. 134

5.7.5.3. Thiết kế mạch tuần tự Cách 1: Dựa vào bảng chuyển đổi trạng thái. Ký hiệu : A, B, N là các biến nhị phân dùng để mã hoá các trạng thái trong của mạch. X1, X2 Xm là các tín hiệu vào đã được mã hoá nhị phân. Z1, Z2 Zm là các tín hiệu ra đã được mã hoá nhị phân. Dựa vào bảng chuyển đổi trạng thái xác định hệ phương trình: Ak = fa (A, B, N, X1, X2 Xm ) Bk = fb (A, B, N, X1, X2 Xm ) Nk = fn (A, B, N, X1, X2 Xm ) Z1 = g1 (A, B, N, X1, X2 Xm ) Z2 = g2 (A, B, N, X1, X2 Xm ) Zn = gn (A, B, N, X1, X2 Xm ) Tối thiểu hoá hệ hàm và viết phương trình ở dạng chỉ dùng NAND.

5.7.5.3. Thiết kế mạch tuần tự Cách 2: Dựa trực tiếp vào đồ hình trạng thái Cho đồ hình trạng thái của mạch có tập tín hiệu vào V, tập tín hiệu ra R, tập trạng thái trong S (chƣa mã hoá nhị phân). Các bƣớc thiết kế Mã hoá tín hiệu vào V, tín hiệu ra R, trạng thái trong S để chuyển thành mạch dạng nhị phân có các tập tín hiệu vào X, tín hiệu ra Y, trạng thái trong Q. Xác định hệ phƣơng trình tín hiệu ra: Yi = fi (X, Q). Phƣơng trình này đƣợc xác định trên các cung với mô hình kiểu Mealy, trên các đỉnh với mô hình kiểu Moore. Tối thiểu các hàm này. Xác định hệ phƣơng trình hàm kích cho các trigơ và tối thiểu hoá nó. Sau đây giới thiệu thuật toán xác định phƣơng trình lối vào kích cho các trigơ từ đồ hình trạng thái. Đối với trigơ Qi bất kỳ sự thay đổi trạng thái từ Qi đến Qki chỉ có thể có 4 khả năng. 136

các cung biểu diễn sự thay đổi từ đến đƣợc ký hiệu nhƣ sau: 0 0 là (0) 1 1 (là 1) 0 1 là (2) 1 0 là (3). Thuật toán xác định phương trình lối vào kích cho trigơ Qi loại D. D 5.7.5.3. Thiết kế mạch tuần tự i n 1 Q i = tuyển tất cả các cung đi tới đỉnh có Qi = 1. = các cung loại (2), kể cả khuyên tại đỉnh đó tức là cung loại 1 = (1) và (2) Q n 1 i D i Q i = 0 Q i = 1 0 1 2 3 137

5.7.5.4. Ví dụ Thiết kế bộ đếm đồng bộ có Mđ = 5 100 ' ' ' Q3Q2Q1 011 0 000 ' Q 1 4 1 3 2 ' Q 1 001 QQ ' ' 2 1 010 Hình 5-38. Đồ hình trạng thái Q 2 Q 1 Q 3 00 01 11 10 0 0 1 3 2 1 4 x x x Bảng 5-16. Bảng mã hóa trạng thái Q 2 Q 1 Q 2 Q 1 Q 3 00 01 11 10 Q 3 00 01 11 10 0 1 2 4 3 0 0 0 0 0 1 0 x x x 1 1 x x x Q 3 \ Bảng 5-17. Bảng chuyển đổi trạng thái Y = Q 3 Bảng 5-18. Bảng Các nô tìm hàm ra 138

5.7.5.4. Ví dụ Dùng trigơ D Nhìn vào đồ hình trạng thái ta thấy: Q3 = 1 tại đỉnh (4), Q2 = 1 tại đỉnh (2), (3), Q2 = 1 tại đỉnh (1), (3). D3 = Các cung đi đến đỉnh (4) = (3) = Q3 Q2 Q1 D2 = Các cung đi đến đỉnh (2), (3) = (1) + (2) = D1 = Các cung đi đến đỉnh (1), (3) = (0) + (2) = Q Q Q 3 2 1 3 2 1 Q Q Q Q Q Q Q Q Q 3 2 1 3 2 1 Từ đó ta lập bảng Các nô để tối thiểu hóa hàm Di 139

5.7.5.4. Ví dụ Dùng trigơ D D3 = Q2Q3 D2 = Q Q3 2 Q2 Q3 Q2 Q3 D1 = Q 1 Q 3 140

5.8. Một số mạch tuần tự thông dụng Bộ đếm Bộ ghi dịch 141

5.8.1. Bộ đếm Định nghĩa : Bộ đếm là một mạch tuần tự tuần hoàn có một lối vào đếm và một lối ra, mạch có số trạng thái trong bằng chính hệ số đếm (ký hiệu là Md). Dƣới tác dụng của tín hiệu vào đếm, mạch sẽ chuyển từ trạng thái trong này đến một trạng thái trong khác theo một thứ tự nhất định. Cứ sau Md tín hiệu vào đếm mạch lại trở về trạng thái xuất phát ban đầu. Bộ đếm đƣợc dùng nhiều trong các dụng cụ đo lƣờng chỉ thị số, các máy tính điện tử và trong các hệ thống số khác. Xd/0 Xd/0 Xd/0 Xd/0 Xd/0 X d Bộ đếm Hệ số đếm = M d Y 0 X d/0 1 X d/0 2 X d/0 M d-2 X d/0 M d-1 X d/1 142

5.8.1. Bộ đếm: 5.8.1.1.Bộ Phân loại: Theo sự chuyển đổi trạng thái: Bộ đếm đồng bộ (Synchronous): Các trigơ đều chịu tác dụng điều khiển của một xung đồng hồ duy nhất Bộ đếm không đồng bộ (Asynchronous): có trigơ chịu tác dụng điều khiển trực tiếp của xung đếm đầu vào, nhƣng cũng có trigơ chịu tác dụng điều khiển của xung ở đầu ra của trigơ khác. Theo hệ số đếm Bộ đếm nhị phân Bộ đếm thập phân Bộ đếm N phân Theo xung đếm Bộ đếm thuận (Up counter) hay còn gọi là bộ đếm tiến Bộ đếm nghịch (Down counter) hay còn gọi là bộ đếm lùi Bộ đếm thuận nghịch 143

5.8.1. Bộ đếm: 5.8.1.2.Bộ đếm đồng bộ Q1 Q2 Q3 Q4 1 J o> F1 Q J Q J Q J Q > o F2 o > F3 o> F4 _ K Q K Q K Q K _ Q CLEAR CLK Hình 5-60. Bộ đếm thuận nhị phân đồng bộ 4 bit

5.8.1. Bộ đếm: 5.8.1.2.Bộ đếm đồng bộ Q 4 n Q 3 n n n n 1 n 1 n 1 Q 2 Q 1 Q4 Q3 Q2 Q1 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 0 0 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 1 1 0 1 1 1 1 0 0 1 1 0 0 1 1 0 1 1 1 0 1 1 1 1 0 1 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 Bảng 5-38. Bảng trạng thái của bộ đếm n 1

5.8.1. Bộ đếm: 5.8.1.2.Bộ đếm đồng bộ 0000 /0 0001 /0 0010 /0 0011 /0 0100 /0 0101 /0 0110 /0 0111 /1 /0 1111 /0 1110 /0 1101 /0 1011 /0 1010 /0 1001 /0 1000 /0 0111 Hình 5-61. Đồ hình trạng thái của bộ đếm nhị phân 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 CLK Q 1 Q 2 Q 3 Q 4 Hình 5-62. Dạng sóng bộ đếm thuận nhị phân đồng bộ

5.8.1. Bộ đếm: 5.8.1.2. Bộ đếm đồng bộ Phân tích và cho biết chức năng của mạch

5.8.1. Bộ đếm: 5.8.1.3. Bộ đếm không đồng bộ Phân tích và cho biết chức năng của mạch

5.8.1. Bộ đếm: 5.8.1.3. Bộ đếm không đồng bộ Phân tích và cho biết chức năng của mạch

5.8.1.4. IC đếm-ic 74192, 74193 Trong các bộ đếm này, khi thức hiện đếm thuận thì xung Clock đƣợc nối với CLK-UP, còn chân CLK-DOWN đƣợc nối với logic 1; khi đếm nghịch thì ngƣợc lại. Các chân CARRY (nhớ) và BORROW (mƣợn) có logic 1 và nó sẽ chuyển mức thấp khi tràn mức hoặc dƣới mức. Chân LOAD = 0 có thể nạp dữ liệu vào bộ đếm. Lối ra Q A Q B Q C Q C (MSB) Carry Borrow CLK - UP CLK - DOWN CLEAR 74192, 74193 P A P B P C P D L (MSB) Lối vào nạp dữ liệu 150

5.8.1.4. IC đếm-ic 7490, 74390 Nó bao gồm 4 trigơ cung cấp bộ đếm gồm hai Mod đếm: Mod 2 và Mod 5. Các bộ đếm Mod 2 và Mod 5 có thể đƣợc sử dụng một cách độc lập. Trigơ A thực hiện đếm Mod 2, Trigơ B, C, D thực hiện đếm Mod 5. IC 74390 là bản kép (dual) của 7490 Lối ra Q A Q B Q C Q D MSB CLK A Trigơ A (Bộ đếm Mod 2) TGB TGC TGD Bộ đếm Mod 5 CLK B R 1 R 2 S 1 S 2 Lối vào xóa Lối vào lập 151

5.8.1.4. IC đếm-ic 7492, 7493, IC 74293, 74393 Nó bao gồm 4 trigơ cung cấp bộ đếm gồm hai Mod đếm: Mod 2 và Mod 6 hoặc mod 8. Trigơ A thực hiện đếm Mod 2, Trigơ B, C, D thực hiện đếm Mod 5. Hoạt động của những bộ đếm này giống nhƣ IC 7490, chỉ khác là không có các lối vào lập và Mod 6 không đếm theo trình tự nhị phân. Các IC này thƣờng không dùng làm các bộ đếm mà dùng làm bộ chia tần Lối ra Q A Q B Q C Q D MSB CLK A Trigơ A (Bộ đếm Mod 2) TGB TGC TGD Mod 6 của IC 7492 Mod 8 của IC 7493/74293 CLK B R 1 R 2 Lối vào xóa 152

5.8.1.4. IC đếm-dùng IC thiết kế bộ đếm bất kỳ Một số bộ đếm có các chân xóa (CLR), lối nạp dữ liệu, chân RC (ripple carry) ra có thể lập trình đƣợc VD IC 74192, 74193 Để tìm một bộ đếm chia hết cho m thì đầu vào nạp P đƣợc cho bởi công thức: P=(16-m) (nếu dùng bộ đếm hex) hoặc =10-m nếu dùng bộ đếm thập phân Khi bộ đếm đếm tới giá trị m thì dùng giá trị này để nối vào chân CLR. Nhiệm vụ của chân Clear là gặp bit 1 thì xóa về 0. Nếu số bit 1 nhiều hơn số chân Clear thì ta phải dùng thêm cổng NAND (hoặc cổng AND) tùy mức tích cực của chân Clear Nếu bộ đếm không bắt đầu từ 0 (VD đếm từ n đến m) thì phải nạp giá trị n khi bắt đầu đếm lại) 153

5.8.2. Bộ ghi dịch Có khả năng ghi (nhớ) số liệu và dịch thông tin (sang phải hoặc sang trái). Đƣợc cấu tạo từ một dãy phần tử nhớ đƣợc mắc liên tiếp với nhau và một số các cổng logic cơ bản hỗ trợ. Muốn ghi và truyền một từ nhị phân n bit cần n phần tử nhớ (n trigger) 154

5.8.2. Bộ ghi dịch-phân loại Phân theo cách đƣa tín hiệu vào và lấy tín hiệu ra: Vào nối tiếp, ra song song SIPO (Serial Input, Parallel Output) Vào song song, ra song song PIPO (Parallel Input, Parallel Output) Vào nối tiếp, ra nối tiếp SISO (Serial Input, Serial Output) Vào song song, ra nối tiếp PISO (Parallel Input, Serial Output): Phân theo hƣớng dịch: Dịch phải, dịch trái, dịch hai hƣớng, dịch vòng Phân theo đầu vào: Đầu vào đơn: Đầu vào đôi: Phân theo đầu ra: Đầu ra đơn: Đầu ra đôi: 155

5.8.2. Bộ ghi dịch-ứng dụng nhớ dữ liệu chuyển dữ liệu từ song song thành nối tiếp và ngƣợc lại. để thiết kế bộ đếm tạo dãy tín hiệu nhị phân tuần hoàn Một số IC ghi dịch (giáo trình DTS mục 5.9.4) 156

5.8.2. Bộ ghi dịch-bộ ghi dịch song song Các số liệu cần ghi đƣa vào D1, D2, D3, D4 Khi có một xung điều khiển ghi đƣa tới lối vào CLK, dữ liệu đƣợc nạp vào bộ nhớ song song và cho lối ra song song Q1 Q2 Q3 Q4 = D1 D2 D3 D4. Vào song song D 1 D 2 D 3 D 4 Xóa Ghi D SD Q D SD Q D SD Q D SD Q F1 F2 F3 F4 CLK CLK CLK CLK Q Q Q Q CD CD CD CD > > > > Ra nối tiếp Điều khiển ra Q1 Q2 Q Q 3 4 Ra song song 157

5.8.2. Bộ ghi dịch-bộ ghi dịch nối tiếp Có thể dịch phải, dịch trái và cho ra song song hoặc ra nối tiếp. Muốn ghi nối tiếp 4 bit cần 4 xung CLK và cho ra ở lối ra song song. Còn để lấy số liệu ra nối tiếp cần thêm 3 xung nhịp nữa Vào nối tiếp Xóa D SD Q D SD Q D SD Q D SD Q F1 F2 F3 F4 CLK CLK CLK CLK Q Q Q Q CD CD CD CD > > > > Ra nối tiếp Ghi Điều khiển ra Q1 Q2 Q Q 3 4 Ra song song 158

5.8.2. Bộ ghi dịch-bộ đếm vòng Q 1 Q 2 Q 3 Q 4 1000 0100 0001 0010 Xóa CLK D SD Q D SD Q D SD Q D SD Q F1 F2 F3 F4 CLK CLK CLK CLK Q Q Q Q CD CD CD CD > > > > 0000 1001 Q 1 Q 2 Q 3 Q 4 1110 1000 0100 0111 0011 1111 0110 0001 1101 0010 0101 1010 1011 Xóa D SD Q D SD Q D SD Q D SD Q F1 F2 F3 F4 CLK CLK CLK CLK Q Q Q Q CD CD CD CD > > > > 1100 CLK 159

5.8.2. Bộ ghi dịch-bộ đếm vòng xoắn (mã Johnson) là bộ dếm có số bit 1 trong từ mã tăng dần, sau đó lại giảm dần. Tƣơng tự có bộ đếm vòng xoắn tự khởi động. D Q 1 n Q 1 Q 2 Q 3 Q 4 0000 1000 1100 1110 0001 0011 0111 1111 Xóa CLK D SD Q D SD Q D SD Q D SD Q F1 F2 F3 F4 CLK CLK CLK CLK Q Q Q Q CD CD CD CD > > > > 160

5.9. Thanh chốt dữ liệu (Latch) là mạch logic số đƣợc dùng để lƣu trữ trạng thái số (1 hoặc 0) trong bộ lƣu trữ dữ liệu. thƣờng đƣợc sử dụng trong các mạch giao tiếp Bus dữ liệu, các bộ phân kênh, hợp kênh, và trong các mạch điều khiển D n LE OE O n H L H L L L X X H Z Bảng 5-64b. Bảng chức năng của IC 74374 D n LE OE O n H H L H L H L L X L L Q 0 X X H Z Bảng 5-64a. Bảng chức năng của IC 74373 161

Nội dung Chƣơng 1: Hệ đếm Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 3: Cổng logic TTL và CMOS Chƣơng 4: Mạch logic tổ hợp Chƣơng 5: Mạch logic tuần tự Chƣơng 6: Mạch phát xung và tạo dạng xung Chƣơng 7: Bộ nhớ bán dẫn. Chƣơng 8: cấu kiện logic khả trình (PLD) Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL) 162

Headline (Times New Roman BÀI GIẢNG Black MÔN : ĐIỆN 36pt.) TỬ SỐ CHƢƠNG 6. MẠCH PHÁT XUNG VÀ TẠO DẠNG XUNG 163

Nội dung Mạch phát xung Mạch dao động đa hài cơ bản cổng NAND TTL Mạch dao động đa hài vòng RC Mạch dao động đa hài thạch anh Mạch dao động đa hài CMOS Trigơ Schmit Mạch đa hài đợi Mạch đa hài đợi CMOS Mạch đa hài đợi TTL IC định thời 164

6.1. Mạch phát xung Mạch dao động đa hài cơ bản cổng NAND TTL Mạch dao động đa hài vòng RC Mạch dao động đa hài thạch anh Mạch dao động đa hài CMOS 165

6.1.1. Mạch dao động đa hài cơ bản cổng NAND TTL (1) Cổng NAND khi làm việc trong vùng chuyển tiếp có thể k.đại mạnh tín hiệu đầu vào. 2 cổng NAND đƣợc ghép điện dung thành mạch vòng thì có bộ dao động đa hài. V K là đầu vào điều khiển, khi ở mức cao mạch phát xung, và khi ở mức thấp mạch V ngừng phát. o2 VH R f1 C2 R f2 VL 0 V i2 VH - VL + VT =R C p1 f1 2 t V i1 V o1 V i2 V o2 I II C1 VK VT 0 V o1 =(R // R )C n1 1 f2 1 t VH Hình 6.1 VL 0 VH - VL + VT t p2 =R f2 C1 VT 0 =(R // R )C n2 1 f1 2 t 166

6.1.2. Mạch dao động đa hài thạch anh Để có các tín hiệu đồng hồ có tần số chính xác và có độ ổn định cao, các mạch đa hài trình bày trên đây không đáp ứng đƣợc. Tinh thể thạch anh thƣờng đƣợc sử dụng trong các trƣờng hợp này. Thạch anh có tính ổn định tần số tốt, hệ số phẩm chất rất cao dẫn đến tính chọn lọc tần số rất cao. Tần số của mạch dao động chỉ phụ thuộc vào tinh thể thạch anh mà không phụ thuộc vào giá trị các tụ điện và điện trở trong mạch R 1 C 2 R 2 V K f 0 V o C 1 167

6.1.3. Mạch đa hài đợi Độ rộng xung tại đầu ra của mạch đƣợc xác định bằng công thức sau: T R R C W 0 ln D trong đó R 0 là điện trở đầu ra của cổng 1, nếu V T =E D /2 thì: TW 0,7 R R0 C E D E V T 168

6.2. Trigơ Schmit

6.3. IC định thời (1) 8 4 R Chân Chức năng Chân Chức năng 1 Đất - GND 5 Điện áp điều khiển 2 Chân kích thích 6 Chân ngƣỡng 3 Đầu ra 7 Đầu phóng điện 4 Xoá - Reset 8 Nguồn Vcc Bảng 6-1. Bảng mô tả chức năng của các chân trong IC 5 6 2 7 R R + - + - Q1 R S R 1 3 1 Ground Mạch điện IC 555. 1 8 VCC Trigger 2 7 Discharge Output 3 6 Threshold Reset 4 5 Control Voltage 170

6.3.1. Tạo mạch đơn ổn Khi chân 2 nhận kích thích (nối đất), ta thấy S~ sẽ lập Q lên 1 và xung sẽ xuất hiện ở lối ra 3. Lúc này, Q~ = 0 nên Q1 khóa. Tụ C nạp điện. Khi điện thế trên tụ (chân 6) vƣợt quá 2/3Vcc thì R~ = 0, do đó Q~ = 1. Xung lỗi ra kết thúc, Q1 thông và tụ C phóng rất nhanh qua Q1. Trạng thái này giữ nguyên cho tới xung kích thích sau (nên chọn R1 lớn để không nóng transistor Q1) Độ rộng xung ra đƣợc tính theo công thức: T = 1,1RC 5 6 2 7 R R R 8 + - + - Q1 R S R 1 4 3 1 Vào + - R C 8 4 6 555 7 2 1 5 3 C 1 +Vcc Ra 2/3Vcc Kích thích Điện thế trên tụ C Xung ra 171

6.3.2. Tạo mạch dao động đa hài Chân 2, 6 và tụ C đƣợc nối với nhau, nên điện thế trên tụ sẽ điều khiển đồng thời cả hai bộ so áp. Nếu điện thế này vƣợt quá mức ngƣỡng 2/3Vcc, thì xung trên đầu ra của TG sẽ bị xoá. Ngƣợc lại, khi tụ phóng xuống dƣới mức 1/3 Vcc thì xung ra lại đƣợc lập. Quá trình này sẽ tiếp diễn và cho một chuỗi xung ở lối ra. Chu kì của dao động sẽ là: T = T N + T P T N là thời gian nạp và đƣợc tính theo công thức: 5 6 2 7 R R R 8 1 + - + - Q1 R S R 1 4 3 T N = 0,7C (R 1 + R 2 ) T P thời gian phóng và bằng: T P = 0,7.C.R 2 R 1 R 2 8 4 7 555 6 3 +Vcc Ra V CC 2/3V CC 1/3V CC 0 Điện thế trên tụ C Nhƣ vậy: T = 0,7C (R 1 + 2R 2 ) + - C 2 1 5 C 1 Xung ra 172

6.3.3.Tạo mạch dao động xung vuông Các biểu thức trên chỉ ra rằng dãy xung ra chỉ vuông đều khi T N và T P bằng nhau, nghĩa là R 1 = 0. Điều này không thực tế, vì lúc đó cực C của Q 1 nối trực tiếp với Vcc. Khi Q 1 dẫn điện xem nhƣ nguồn Vcc bị ngắn mạch. Có thể cân bằng T N và T P bằng các diode phụ nhƣ chỉ ở hình bên. 5 6 2 7 R R R 8 1 + - + - Q1 R S R 1 4 +Vcc 3 Tần số dao động của chuỗi xung ra là: f 1,4 C R 2R Với R1 = R2 = R thì (có Diod): 1 2 0,7 f CR R 1 R 2 D 2 + - D 1 C 8 7 555 6 2 1 4 5 3 C 1 Ra Hình 6. 173

Nội dung Chƣơng 1: Hệ đếm Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 3: Cổng logic TTL và CMOS Chƣơng 4: Mạch logic tổ hợp Chƣơng 5: Mạch logic tuần tự Chƣơng 6: Mạch phát xung và tạo dạng xung Chƣơng 7: Bộ nhớ bán dẫn. Chƣơng 8: cấu kiện logic khả trình (PLD) Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL) 174

Headline (Times New Roman BÀI GIẢNG Black MÔN : ĐIỆN 36pt.) TỬ SỐ CHƢƠNG 7. BỘ NHỚ BÁN DẪN 175

Nội dung Khái niệm chung DRAM SRAM Bộ nhớ cố định ROM Bộ nhớ bán cố định Mở rộng dung lƣợng bộ nhớ 176

7.1. Khái niệm chung Khái niệm: Bộ nhớ là một thiết bị có khả năng lƣu trữ thông tin (nhị phân). Muốn sử dụng bộ nhớ, trƣớc tiên ta phải ghi dữ liệu và các thông tin cần thiết vào nó, sau đó lúc cần thiết phải lấy dữ liệu đã ghi trƣớc đó để sử dụng. Thủ tục ghi vào và đọc ra phải đƣợc kiểm soát chặt chẽ, tránh nhầm lẫn nhờ định vị chính xác từng vị trí ô nhớ và nội dung của nó theo một mã địa chỉ duy nhất. 177

7.1. Khái niệm chung Những đặc trƣng chính của bộ nhớ Dung lƣợng của bộ nhớ. Dung lƣợng bộ nhớ là số bit thông tin tối đa có thể lƣu giữ trong nó. Dung lƣợng cũng có thể biểu thị bằng số từ nhớ n bit. Từ nhớ n bit là số bit (n) thông tin mà ta có thể đọc hoặc ghi đồng thời vào bộ nhớ. Cách truy cập thông tin: Có 2 cách là trực tiếp và gián tiếp Truy cập trực tiếp, hay còn gọi là truy cập ngẫu nhiên (random access). Ở cách này, không gian bộ nhớ đƣợc chia thành nhiều ô nhớ. Mỗi ô nhớ chứa đƣợc 1 từ nhớ n bit và có một địa chỉ xác định, mã hoá bằng số nhị phân k bit. Mỗi bộ nhớ có k bit địa chỉ sẽ có 2k ô nhớ và có thể ghi đƣợc 2k từ nhớ n bit. Truy cập tuần tự (serial access) hay còn gọi là kiểu truy cập tuần tự. Các đĩa từ, băng từ, trống từ, thanh ghi dịch có kiểu truy cập này. Các bit thông tin đƣợc đƣa vào và lấy ra một cách tuần tự. Tốc độ truy cập thông tin. Đây là thông số rất quan trọng của bộ nhớ. Nó đƣợc đặc trƣng bởi thời gian cần thiết để truy cập thông tin. 178

7.1. Khái niệm chung Phân loại bộ nhớ BỘ NHỚ BÁN DẪN Bộ nhớ cố định ROM Bộ nhớ bán cố định Bộ nhớ đọc/viết MROM PROM EPROM EEPROM SRAM DRAM Dựa trên thời gian viết và cách viết, có thể chia thành: bộ nhớ cố định, bộ nhớ bán cố định và bộ nhớ đọc/viết đƣợc. Bộ nhớ cố định ROM (Read Only Memory): có nội dung đƣợc viết sẵn một lần. MROM: là loại ROM sau khi đã đƣợc viết (bằng mặt nạ-mask) từ nhà máy thì không viết lại đƣợc nữa. PROM là một dạng khác, các bit có thể đƣợc viết bằng thiết bị ghi của ngƣời sử dụng trong một lần (Programmable ROM). Bộ nhớ có thể đọc/ viết nhiều lần RAM (Random Access Memory) gồm hai loại: RAM tĩnh-sram (Static RAM) thƣờng đƣợc xây dựng trên các mạch điện tử trigơ. RAM động-dram (Dynamic RAM) đƣợc xây dựng trên cơ sở nhớ các điện tích ở tụ điện; 179

7.1. Khái niệm chung Phân loại bộ nhớ Giữa ROM và RAM có một lớp các bộ nhớ đƣợc gọi là EPROM (Erasable PROM), dữ liệu trong đó có thể xoá đƣợc bằng tia cực tím và ghi lại đƣợc, EEPROM (Electric EPROM) có thể xoá đƣợc bằng dòng điện. Các loại này còn đƣợc gọi là bộ nhớ bán cố định. Các bộ nhớ DRAM thƣờng thoả mãn những yêu cầu khi cần bộ nhớ có dung lƣợng lớn; trong khi đó khi cần có tốc độ truy xuất lớn thì phải dùng các bộ nhớ SRAM có giá thành đắt hơn. Nhƣng cả hai loại này đều có nhƣợc điểm là thuộc loại bay hơi (volatile), thông tin sẽ bị mất đi khi nguồn nuôi bị ngắt. Do vậy các chƣơng trình dùng cho việc khởi động PC nhƣ BIOS thƣờng phải nạp trên các bộ nhớ ROM. 180

7.1. Khái niệm chung Tổ chức của bộ nhớ Bộ nhớ thƣờng đƣợc tổ chức gồm nhiều vi mạch nhớ đƣợc ghép lại để có độ dài từ và tổng số từ cần thiết. Những chip nhớ đƣợc thiết kế sao cho có đầy đủ một số chức năng của bộ nhớ nhƣ: Một ma trận nhớ gồm các ô nhớ, mỗi ô nhớ ứng với một bit nhớ. Mạch logic giải mã địa chỉ ô nhớ. Mạch logic cho phép đọc nội dung ô nhớ. Mạch logic cho phép viết nội dung ô nhớ. Các bộ đệm vào, bộ đệm ra và bộ mở rộng địa chỉ. 181

7.2. Cấu trúc cơ bản của bộ nhớ ROM ROM bao gồm 4 khối cơ bản: + Bộ nhớ chứa các ô nhớ và trong các ô nhớ là các từ nhớ. + Mạch điều khiển tiếp nhận các tín hiệu vào từ kênh điều khiển. + Bộ giải mã địa chỉ dùng để định vị ô nhớ. + Mạch ra dùng để đƣa nội dung ô nhớ tới các thiết bị có liên quan cần tiếp nhận nội dung này. ROM Kênh địa chỉ A 9 A 0 Bộ giải mã địa chỉ Khối nhớ Ô nhớ được định vị Kênh điều khiển CE 1 CE 2 CE 3 Bộ điều khiển Mạch ra D 7 D 0 Kênh dữ liệu 182

7.2. Cấu trúc cơ bản của bộ nhớ ROM Mỗi ô nhớ nhị phân có chức năng lƣu giữ một trong hai trạng thái 0 hoặc 1. Mở rộng bộ nhớ Bus điều khiển A 9 CE 1 CE 2 CE 1 CE 2 Bus địa chỉ ROM 1 1k x 8 ROM 2 1k x 8 A 0 Bus dữ liệu D 7 D 0 183

7.2.1. Bộ giải mã địa chỉ Bộ giải mã địa chỉ là giao diện giữa kênh địa chỉ và khối nhớ. Nó có khả năng truyền rất nhiều địa chỉ trên một số ít đƣờng truyền. Địa chỉ nhị phân phải đƣợc giải mã trƣớc khi tác động tới mảng ô nhớ. 33 32 31 30 23 22 21 20 13 12 11 10 03 02 01 00 1 0 A 3 A 2 Cho phép đọc A 1 A 0 0 1 184

7.2.2. Mạch ra của bộ nhớ Mạch ra có nhiệm vụ kết nối dữ liệu đã chọn với kênh dữ liệu vào lúc thích hợp. Mảng bộ nhớ Từ bộ điều khiển CE D 3 D 2 D 1 D 0 Bus dữ liệu 185

7.2.3. Mạch điều khiển Mạch điều khiển trong ROM có chức năng khá đơn giản. ROM Kênh địa chỉ A 9 A 0 Bộ giải mã địa chỉ Khối nhớ Ô nhớ được định vị Kênh điều khiển CE 1 CE 2 CE 3 Bộ điều khiển Mạch ra D 7 D 0 Kênh dữ liệu 186

7.2.4. Bộ nhớ cố định MROM Các chip RAM không thích hợp cho các chương trình khởi động do các thông tin trên đó bị mất khi tắt nguồn. Do vậy phải dùng đến ROM, trong đó các số liệu cần lưu trữ được viết một lần theo cách không bay hơi để nhằm giữ được mãi. 1 0 R 4 R 3 R 2 R 1 +V +5V Các dây hàng (i hàng) MROM ROM lập trình theo kiểu mặt nạ Đƣợc chế tạo trên một phiến silic theo một số bƣớc xử lý nhƣ quang khắc và khếch tán để tạo ra những tiếp giáp bán dẫn có tính dẫn điện theo một chiều (nhƣ diode, transistor trƣờng). Ngƣời thiết kế định rõ chƣơng trình muốn ghi vào ROM, thông tin này đƣợc sử dụng để điều khiển quá trình làm mặt nạ. Hình 7-6 là một ví dụ đơn giản về sơ đồ MROM dùng diode. Chỗ giao nhau giữa các dây từ (hàng) và các dây bit (cột) tạo nên một phần tử nhớ (ô nhớ). Một diode đƣợc đặt tại đó (hình vẽ) sẽ cho phép lƣu trữ số liệu 0. Ngƣợc lại những vị trí không có diode thì sẽ cho phép lƣu trữ số liệu 1. Khi đọc một từ số liệu thứ i của ROM, bộ giải mã sẽ đặt dây từ đó xuống mức logic thấp, các dây còn lại ở mức cao. Do vậy chỉ những diode nối với dây này đƣợc phân cực thuận, do đó nó sẽ dẫn làm cho điện thế lối ra trên các dây bit tƣơng ứng ở mức logic thấp, các dây bit còn lại sẽ giữ ở mức cao. Các dây bit (j cột) Hình 7-6. MROM diode đơn giản 187

7.2.5. Bộ nhớ cố định PROM PROM cũng gồm có các diode nhƣ ở MROM nhƣng chúng có mặt đầy đủ tạo các vị trí giao nhau giữa dây từ và dây bit. Mỗi diode đƣợc nối với một cầu chì. Bình thƣờng khi chƣa lập trình, các cầu chì còn nguyên vẹn, nội dung của PROM sẽ toàn là 0. Khi định vị đến một bit bằng cách đặt một xung điện ở lối ra tƣơng ứng, cầu chì sẽ bị đứt và bit này sẽ bằng 1. Bằng cách đó ta có thể lập trình toàn bộ các bit trong PROM. Nhƣ vậy, việc lập trình đó có thể đƣợc thực hiện bởi ngƣời sử dụng chỉ một lần duy nhất, không thể sửa đổi đƣợc. 188

7.2.5. PROM Hình 7-11. PROM dùng diode +5V R 4 R 3 R 2 R 1 WE 0 WE 1 WE 2 Các dây từ (i) WE 3 D 3 D 2 D 1 D 0 Các dây bit (j) 189

7.2.5.Bộ nhớ bán cố định - EPROM (Erasable PROM) Hình 7-7 chỉ ra cấu trúc của một transistor dùng để làm một ô nhớ gọi là FAMOST (Floating gate avalanche injection MOS transistor). Trong ô nhớ dùng transistor này, cực cửa đƣợc nối với đƣờng từ, cực máng đƣợc nối với đƣờng bit và cực nguồn đƣợc nối với nguồn chuẩn đƣợc coi là nguồn cho mức logic 1. Khác với transistor MOS bình thƣờng, transistor loại này còn có thêm một cửa gọi là cửa nổi (floating gate); đó là một vùng vật liệu đƣợc thêm vào vào giữa lớp cách điện cao nhƣ ở hình 7-7. Nếu cửa nổi không có điện tích thì nó không ảnh hƣởng gì đến cực cửa điều khiển và transistor hoạt động nhƣ bình thƣờng. Nguồn hv Cửa hv Máng I D 0 1 Cửa điều khiển Xoá Lớp ôxit Cửa nổi - - - - - - n- Nguồn n- Máng Lớp ôxit Lập trình Đế bán dẫn loại p v0 v1 v GS Hình 7-7. Cấu trúc của một EPROM 190

7.2.6. Bộ nhớ bán cố định EEPROM Cửa sổ thạch anh có giá thành khá đắt và không tiện lợi nên những năm gần đây xuất hiện các chip PROM có thể xoá số liệu bằng phƣơng pháp điện. Cấu trúc của ô nhớ giống nhƣ hình 7-8. Nguồn Cửa Máng Lớp Lớp ôxit ôxit Cửa điều khiển Cửa nổi - - - - - - - - - - n- Nguồn n- Máng Lớp ôxit Đường hầm ôxít Đế bán dẫn loại p Hình 7-8. Cấu trúc của một EEPROM 191

7.3. RAM RAM có khả năng cho phép ghi lƣu trữ dữ liệu thông tin tam thời trong một thời gian, sau đó lại đọc thông tin đó để tiếp tục xử lý khi cần thiết nên nó có tên là bộ nhớ đọc/viết. Một đặc tính quan trọng khác của RAM là các dữ liệu trong RAM chỉ có tính chất tạm thời, dễ bị xóa khi mất nguồn năng lƣợng cấp 192

7.3.1. Cấu trúc khối của RAM RAM cũng có 4 phần chính nhƣ mô tả trên hình 7-17. Điểm khác biệt là: + Mạch điều khiển của RAM phải có thêm đầu vào R/W điều khiển hai quá trình cơ bản trong thao tác của RAM: ghi dữ liệu thông tin vào nó và quá trình xuất (đọc) thông tin đã ghi. + Mạch đầu ra có khả năng kiểm soát hai chiều trƣớc khi cho phép giao tiếp với kênh dữ liệu. Quá trình này tuân theo nguyên tắc: (đồng bộ với việc điều khiển R/W) khi bộ nhớ đang đọc thì không đƣợc ghi và ngƣợc lại; trạng thái thứ ba có thể chờ quyết định. 193

7.3.1. Cấu trúc RAM Cấu trúc 4 khối của một RAM có 8 bit dữ liệu và 8 bit địa chỉ RAM Kênh địa chỉ A 9 A 0 Bộ giải mã địa chỉ Khối nhớ Địa chỉ được chọn R/W CE Kênh điều khiển CE 1 CE 2 R/W Bộ điều khiển RE/WE D i Mạch vào/ra D 7 D 0 Bus vào/ra dữ liệu 194

7.3.2. Mạch vào ra Mảng bộ nhớ G 0 G 1 G 2 G 3 RE G 0 G 1 G 2 G 3 WE Bus dữ liệu D 3 D 2 D 1 D 0 195

7.3.3. Mạch điều khiển + Khi ở chế độ đọc, xung R/W ở mức logic 1. Đồng thời các tín hiệu cho phép chọn CE1, CE2 đƣợc kích hoạt ở mức 1 nên lúc này RE = 1, tức là chế độ đọc đƣợc thiết lập. Khi đó tín hiệu = 0 nên tín hiệu cho phép ghi WE = 0 (cấm ghi). + Khi ở chế độ ghi, xung R/W ở mức logic 0, = 1, đồng thời các tín hiệu cho phép chọn CE1, CE2 đƣợc kích hoạt ở mức 1 nên lúc này WE = 1, tức là nó ở chế độ ghi. Khi đó tín hiệu R/W = 0 nên tín hiệu cho phép đọc RE = 0 (cấm đọc). R/W CE 1 CE 2 RE (cho phép đọc) WE (cho phép ghi) 196

7.3.4. DRAM Tụ điện Transistor Lớp ôxit Điện cực Cửa n- Nguồn n- Máng Lớp ôxit Tra Vùng lưu giữ điện tích Đế bán dẫn loại p Các ô nhớ đƣợc xắp xếp theo hàng và cột trong một ma trận nhớ. Địa chỉ ô nhớ đƣợc chia thành hai phần: địa chỉ hàng và cột. Hai địa chỉ này đƣợc đọc vào bộ đệm một cách lần lƣợt. Xử lý kiểu này đƣợc gọi là hợp kênh, lý do là để giảm kích thƣớc bộ giải mã, tức là giảm kích thƣớc và giá thành vi mạch. Quá trình dồn kênh địa chỉ này đƣợc điều khiển bởi các tín hiệu RAS (Row Access Strobe) và CAS (Column Access Strobe). Nếu RAS ở mức tích cực thấp thì DRAM nhận đƣợc địa chỉ đặt vào nó và sử dụng nhƣ địa chỉ hàng. Nếu CAS ở mức tích cực thấp thì DRAM nhận đƣợc địa chỉ đặt vào nó và sử dụng nhƣ địa chỉ cột. WL BL C BL 197

7.3.5. SRAM V CC Tra Tra Tra WL BL Trs Trs BL WL BL C BL Một ô nhớ của SRAM giữ thông tin bởi trạng thái của mạch trigơ. Thuật ngữ tĩnh chỉ ra rằng khi nguồn nuôi chƣa bị cắt thì thông tin của ô nhớ vẫn đƣợc giữ nguyên. Khác với ô nhớ DRAM, ở đây ô nhớ trigơ cung cấp một tín hiệu số mạnh hơn nhiều vì đã có các transistor trong các ô nhớ, chúng có khả năng khuếch đại tín hiệu và do đó có thể cấp trực tiếp cho các đƣờng bit. Trong DRAM, sự khuếch đại tín hiệu trong các bộ khuếch đại cần nhiều thời gian và do đó thời gian truy nhập dài hơn. Khi định địa chỉ trong các trigơ ở SRAM, các transistor bổ sung cho các trigơ, các bộ giải mã địa chỉ cũng đƣợc đòi hỏi nhƣ ở DRAM. 198

7.4. Bộ nhớ FLASH Cấu trúc của chúng cơ bản nhƣ EEPROM, chỉ có lớp kênh ôxit ở các ô nhớ mỏng hơn. Bộ nhớ flash có thể hoạt động gần mềm dẻo nhƣ DRAM và SRAM nhƣng lại không bị mất số liệu khi bị cắt điện. Phần chính là mạng nhớ bao gồm các ô nhớ FAMOST nhƣ đƣợc mô tả ở mục trên. Giống nhƣ SRAM, bộ nhớ flash không dồn phân kênh địa chỉ. Các bộ giải mã hàng và cột chọn một đƣờng từ và một hoặc nhiều cặp đƣờng bit. Số liệu đọc đƣợc đƣa ra ngoài bộ đệm số liệu I/O hoặc đƣợc viết vào ô nhớ đã đƣợc định địa chỉ bởi bộ đệm này qua cổng I/O. Một chip nhớ flash 1 Mb có thể đƣợc lập trình trong khoảng 2 sec, nhƣng khác với EEPROM việc xoá đƣợc thực hiện từng chip một. Thời gian xoá cho toàn bộ bộ nhớ flash khoảng 1 sec. Xử lý đọc, lập trình và xoá đƣợc điều khiển bởi các lệnh có độ dài 2 byte đƣợc bộ xử lý viết vào các thanh ghi lệnh của mạch điều khiển flash. 199

7.4. Bộ nhớ FLASH V PP WE CE OE Điều khiển Thanh ghi lệnh Bộ định thời Chuyển mạch điện thế xoá Chuyển mạch điện thế chương trình Giải mã hàng Địa chỉ Đệm địa chỉ Giải mã cột Ma trận tế bào nhớ Cửa vào ra Dữ liệu vào Đệm vào ra dữ liệu Hình 7-9. Sơ đồ bộ nhớ FLASH 200

7.5. Bộ nhớ CACHE Giữa CPU và bộ nhớ chính bằng DRAM, ngƣời ta xen vào một bộ nhớ SRAM nhanh có dung lƣợng nhỏ bằng 1/10 hoặc 1/100 lần bộ nhớ chính gọi là cache; dƣới sự điều khiển của mạch điều khiển cache, bộ nhớ này sẽ lƣu trữ tạm thời các số liệu thƣờng đƣợc gọi và cung cấp nó cho CPU trong thời gian ngắn. Cache chứa các thông tin mới vừa đƣợc CPU sử dụng gần đây nhất. Khi CPU đọc số liệu nó sẽ đƣa ra một địa chỉ tới bộ điều khiển cache. Sau đó một trong hai quá trình sau sẽ xảy ra: SRAM Cache CPU DRAM trong bộ nhớ chính Bộ điều khiển CACHE 201

7.6. Mở rộng dung lƣợng bộ nhớ Các vi mạch nhớ bán dẫn chỉ có dung lƣợng xác định. Muốn có bộ nhớ có dung lƣợng lớn hơn, ta tìm cách ghép nhiều vi mạch nhớ nhằm một trong ba mục đích sau: Tăng độ dài nhớ, nhƣng không làm tăng số lƣợng từ nhớ. Tăng số lƣợng từ nhớ nhƣng không làm tăng độ dài từ nhớ. Tăng cả số lƣợng và độ dài từ nhớ. 202

7.6.1. Mở rộng độ dài từ Trên một chíp nhớ, có thể có đƣợc 1 đến một số hữu hạn lối ra, thƣờng là 4 hoặc 8 bit. Muốn có độ dài từ lớn hơn, chẳng hạn từ 4 lên 8 hoặc 16 bit, ta tiến hành ghép nhiều chíp nhớ nhƣ chỉ ở hình 7-10 đối với RAM. Đối với ROM cách làm cũng tƣơng tự, chỉ khác trong trƣờng hợp này, có thể không có lối vào R/ W. A 0 A n-1 D 0 D n-1 RAM I BUS địa chỉ BUS dữ liệu BUS dữ liệu RAM II Hình 7-10. Sơ đồ mở rộng độ dài từ. 203

7.6.2. Mở rộng dung lƣợng (1) Muốn mở rộng dung lƣợng, ta cũng ghép nhiều chíp lại với nhau. Nhƣ đã biết, dung lƣợng có liên quan đến số lối vào địa chỉ (C = 2N x độ dài từ, với N là số lối vào địa chỉ). Cứ tăng 1 chíp thì cần có thêm một lối vào địa chỉ. Khi mở rộng dung lƣợng các lối vào/ra dữ liệu D và R/ đƣợc nối song song. Một phần dung lƣợng đƣợc trữ vào mỗi chíp. Sự phân chia này dựa trên cơ sở tổ hợp địa chỉ vào và lối vào điều khiển. Hình 7-11 là một sơ đồ ví dụ. A 0 A 11 A 0 IC 1 A 11 2k A 0 IC 2 A 11 2k A 0 IC 3 A 11 2k A 0 IC 4 A 11 2k A 12 A 13 Bộ giải mã vào 2 ra 4 Hình 7-11. Phƣơng pháp mở rộng dung lƣợng. 204

7.6.2. Mở rộng dung lƣợng (2) A 0 A 11 A 0 IC 1 A 11 2k A 0 IC 2 A 11 2k A 0 IC 3 A 11 2k A 0 IC 4 A 11 2k A 12 A 13 Bộ giải mã vào 2 ra 4 Hình 7-11. Phƣơng pháp mở rộng dung lƣợng. A 13 A 12 _CS IC mở Khoảng địa chỉ 0 0 _CS 1 IC I 0000 16-0FFF 16 0 1 _CS 2 IC II 1000 16-1FFF 16 1 0 _CS 3 IC III 2000 16-2FFF 16 1 1 _CS 4 IC IV 3000 16-3FFF 16 205

Nội dung Chƣơng 1: Hệ đếm Chƣơng 2: Đại số Boole và các phƣơng pháp biểu diễn hàm Chƣơng 3: Cổng logic TTL và CMOS Chƣơng 4: Mạch logic tổ hợp Chƣơng 5: Mạch logic tuần tự Chƣơng 6: Mạch phát xung và tạo dạng xung Chƣơng 7: Bộ nhớ bán dẫn. Chƣơng 8: Cấu kiện logic khả trình (PLD) Chƣơng 9: Ngôn ngữ mô tả phần cứng (VHDL) 206

CHƢƠNG 8. CẤU KIỆN LOGIC KHẢ TRÌNH 207

MicroProcessor /RAM 8.1 GIỚI THIỆU VỀ CÔNG NGHỆ LOGIC SỐ Công nghệ Logic số (Digital Logic) Standard Logic Programmable Logic (PLD) ASICs Full Custom VLSI Design TTL 74xx CMOS 4xxx SPLD FPGA CPLD Gate Arrays Standard Cell Hình 8.1 - Phân loại công nghệ logic số. 208

8.2 CẤU KIỆN LOGIC KHẢ TRÌNH (PLD) 8.2.1 SPLD Đầu vào Điểm kết nối khả trình Đầu ra Hình 8.3 Kiến trúc PAL 209

8.2.1 SPLD -PAL X 0.X 1.X X 2 0.X1 Y 0 Y 1 X 0 X 1 X 2 210

8.2.1 SPLD-PLA Đầu vào Điểm kết nối khả trình Điểm kết nối khả trình Đầu ra Hình 8.4 Kiến trúc PLA 211

8.2.1 SPLD-PLA Cầu chì còn Cầu chì đứt X 0 X 1 X 2 Y 0 Y 1 Y 2 Y 3 Y X.X.X X.X.X X.X.X 0 2 1 0 2 1 0 2 1 0 Y X.X.X X.X.X X.X.X 1 2 1 0 2 1 0 2 1 0 212

8.2.2 CPLD (Complex PLD) Hình 8.5 Kiến trúc chung của CPLD 213