Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Similar documents
Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

EUREKA: A new Industry EUV Research Center at LBNL

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Soft X - Ray Optics: Fundamentals and Applications

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Measurement of EUV scattering from Mo/Si multilayer mirrors

Overview of EUV Lithography and EUV Optics Contamination

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

Actinic review of EUV masks: First results from the AIMS EUV system integration

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Horizontal-Vertical (H-V) Bias, part 2

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Modeling and Simulation of Line Edge Roughness for EUV Resists

Mueller Matrix Polarimetry: A Powerful Tool for Nanostructure Metrology

We published the text from the next page.

Extreme-ultraviolet phase-shifting point-diffraction interferometer: a wave-front metrology tool with subangstrom reference-wave accuracy

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Roughness characterization in positive and negative resists

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

More on Stochastics and the Phenomenon of Line-Edge Roughness

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Analysis of carbon contamination on EUV mask using CSM/ ICS

Purpose: Explain the top 10 phenomena and concepts key to

Photolithography II ( Part 1 )

Application of Stochastic Modeling to Resist Optimization Problems

M oore s law indicates that the number of transistors in integrated circuits should double about every two

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations

Multilayer optics for next-generation EUVL systems

Standardization of Optical Particle Counters

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

High Optical Density Photomasks For Large Exposure Applications

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Electron-beam SAFIER process and its application for magnetic thin-film heads

Chromeless Phase Lithography (CPL)

Diffractive Optics Design and implementation of a modulator-based free-space optical backplane for multiprocessor applications

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Design and Correction of optical Systems

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks

Vassilios Constantoudis 1.2, Vijayakumar M. Kuppuswamy 1, Evangelos Gogolides 1.2

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives

Characterization of Optical Proximity Correction Features

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

157nm Lithography with High Numerical Aperture Lens for the 70nm Technology Node

Interactions of 3D mask effects and NA in EUV lithography

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Praktikum zur. Materialanalytik

EUV Lithography Status and Key Challenges for HVM Implementation

Critical Dimension Uniformity using Reticle Inspection Tool

Stochastic modeling of photoresist development in two and three dimensions

Sensors and Metrology. Outline

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

EUVL Readiness for High Volume Manufacturing

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Lithography Issues in Nano Chip Design and Manufacture

Design and Correction of Optical Systems

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Aerial image based lens metrology for wafer steppers

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

A Simple Model of Line-Edge Roughness

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

Is an EUV Film Quantum Yield of 30 Possible?

EUV Resist-Fundamental Research

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Deformable mirror fitting error by correcting the segmented wavefronts

EUV and Soft X-Ray Optics

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

X-ray optics for the LCLS free-electron laser

n The visual examination of the image of a point source is one of the most basic and important tests that can be performed.

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

EUV and Soft X-Ray Optics

MICRO AND NANOPROCESSING TECHNOLOGIES

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society

Nanoimprint Lithography

Generalized formulations for aerial image based lens aberration metrology in lithographic tools with arbitrarily shaped illumination sources

IEUVI Mask Technical Working Group

EUV Reflectivity measurements on Acktar Sample Magic Black

Weak-Beam Dark-Field Technique

Measuring aberrations in lithographic projection systems with phase wheel targets

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Litho scenario solutions for FinFET SRAM 22nm node

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, Handed out Thursday, April 27, 2006

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Transcription:

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3 Author Naulleau, Patrick P. Publication Date 2008-11-10 escholarship.org Powered by the California Digital Library University of California

Spatial scaling metrics of mask-induced induced line-edge roughness Patrick P. Naulleau 1 and Gregg Gallatin 2 1 Center for X-Ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 2 Applied Math Solutions, Newtown, CT 06470 Abstract Mask contributors to line-edge roughness (LER) have recently been shown to be an issue of concern for both the accuracy of current resist evaluation tests as well the ultimate LER requirements for the 22-nm production node. Problems arise from mask absorber LER as well as mask reflector or surface roughness leading to random phase variations in the reflected beam. Not only do these mask contributors effect to total measured LER in resist, but they also effect LER spatial characteristic such as the LER power spectral density and related descriptors of correlation length and roughness exponent. Noting that these characteristics are important in the understanding of LER, it is crucial to understand how mask effects impact these parameters. Moreover, understanding how these metrics respond to mask effects may lead to an experimental mechanism for experimentally evaluating the importance of mask contributors to LER. Here we use computer modeling to study the LER spatial metrics arising from mask effects. We further describe the effects of illumination conditions and defocus on the metrics and compare the results to those expected from intrinsic resist LER. Keyword: extreme ultraviolet, lithography, multilayer, mask, line-edge roughess 1

Introduction Mask contributors to line-edge roughness (LER) have recently been shown [1] to be an issue of concern for both the accuracy of current resist evaluation tests as well the ultimate LER requirements for the 22-nm production node [2]. Problems arise from mask absorber LER [3] as well as mask reflector or surface roughness leading to random phase variations in the reflected beam [4, 5]. Not only do these mask contributors effect to total measured LER in resist, but they may also effect LER spatial characteristic such as correlation length and roughness exponent which have been proposed as important metrics for understanding LER [6-8]. Moreover, understanding these additional metrics and how they might vary compared to values expected from resist may provide an experimental mechanism for evaluating the importance of mask contributors to LER. Here we explicitly consider the spatial metrics of mask-induced LER through computer modeling and study their potential impact on experimentally measured resist LER metrics. Mask-induced LER spatial metrics The modeling method used in this study is identical to that described in the literature [1] and is only briefly presented here. Thin mask modeling is used and the multilayer surface roughness is represented as a random phase error on the mask. The morphology of the absorber LER is set match absorber LER as measured using scanning electron micrographs (SEMs) and the phase roughness morphology is determined from atomic force microscopy [1]. For the optic characteristics in the modeling, we use published wavefront aberration and flare measurements from the SEMATECH Berkeley microfield exposure tool (MET) [9-12]. For reference, we begin by considering the expected spatial LER metrics for photoresistinduced LER. A stochastic resist model has recently been developed allowing the spatial characteristics of resist LER to be accurately predicted [13]. This model has been verified against 2

a variety of resist and demonstrated remarkable accuracy in the prediction of the shape of the LER power spectral density (PSD) [14] for PSD magnitudes above the scanning electron microscope noise floor. Figure 1 shows a summary of the comparison results for four different resist platforms. The reported R values correspond to the width of the deprotection blur function at the heart of the resist model [13] and is closely related to the correlation length of the LER. To the extent that the model captures the dominant mechanisms leading to LER, the R term extracted by the model can be seen to represent the intrinsic resolution limit of the resist. Next we consider the LER spatial metrics on the mask itself. Figure 2 shows a SEM of 250-nm line-space patterns on the 5 mask corresponding to 50-nm patterns at the wafer. The mask LER PSD (scaled to wafer coordinates) is shown in Fig. 3. The mask LER is well fit by the stochastic resist LER model used above. In wafer coordinates, the roughness exponent of the LER is 0.83 and the correlation length is 11.4 nm with both values being determined from the height-height correlation function (HHCF) [7, 15]. In mask coordinates, the correlation length is simply 5 times larger while the roughness exponent remains the same. Although the mask LER itself is very similar in morphology to that seen in imaged resist, it is important to recall that the morphology in the projected image LER will not be the same as the mask LER. LER transfer from the mask to the wafer is dominated by the LER Transfer Function (LTF) [3] which is distinct from the optic contrast transfer function. In practice the LTF is best determined through computer simulation readily allowing system parameters such as pupil aberrations and illumination pupil fill to be incorporated in the prediction [3]. In Fig. 4 we show the LER PSD of the projected mask image directly comparing it to the mask LER PSD from Fig. 3. The optical parameters are set to match those of the MET with annular 0.35-0.55 illumination. The effect of the LTF on the LER PSD is evident and clearly changes the 3

morphology of the PSD such that it is no longer well represented by a self-affine fractal roughness as predicted by the resist LER model and as observed in resists. This striking difference in the observed PSD suggests that the PSD is a useful method for ascertaining the importance of mask LER in printed LER. Although the effect of the LTF is clearly visible in the PSD, the height-height correlation and sigma versus length functions do not exhibit a significant difference in morphological signature as compared to self-affine roughness as observed from resists (Fig. 5). These functions, thus, do not serve as good root cause indicators for printed LER. After projection by the optic, the HHCF measured correlation length and roughness exponent are 32.6 nm and 0.90, respectively. Not much change in the roughness exponent but a significant increase in the correlation length owing the band-limiting characteristics of the LTF. The PSDmeasured correlation length is 29.6 nm. The PSD-measured roughness exponent as determined from the slope [roughness exponent is (Slope PSD -1)/2] is greater than 5 which is clearly not representative of a self-affine process. Next we consider the LER spatial metrics for mask multilayer roughness induced LER. The same modeling conditions as described for the mask LER case are used except that the mask absorber pattern is now assumed to be perfect. The LER spatial metrics shown in Fig. 6 again show the mask roughness induced LER PSD to deviate strongly in morphology compared to selfaffine roughness, whereas the HHCF and sigma versus length plots due not capture this change. The HHCF-measured correlation length and roughness exponent are 16.2 nm and 0.97, whereas the PSD-measured correlation length is 29.2 nm. Again, the PSD-measured roughness exponent as being greater than 6 is not representative of a self-affine process. The striking similarity between the PSD results for the two different types of mask roughness can be understood by realizing that the spatial characteristics of the projected multilayer roughness are dominated by 4

the transfer function of the projection optics. Moreover considering the transfer all the way to the LER instead of simply intensity variations at the wafer, it is evident that the characteristics of the closely related LTF will dominate as is the case for the more evident condition of mask pattern LER considered above. These results indicate a potential method for ascertaining the importance of mask effects in measured LER: namely looking for large discrepancies between the roughness exponent measured using the HHCF and PSD methods, respectively. Also, a PSD roughness exponent measurement of greater than one may indicate some level of mask contribution to the measured LER. The results above were all obtained assuming conventional annular illumination on the centrally obscured MET. It was previously shown, however, the illumination coherence settings play an important role in the magnitude of the LER, especially for the mask multilayer roughness case. Thus we next consider the impact of illumination settings on the spatial metrics. Table 1 shows the extracted correlation length and roughness exponent for annular and dipole illumination settings on the two mask types. The annular settings are as described above and the dipole settings are pole σ of 0.1, pole offset of 0.57, and an orientation of 45. The results in Table 1 along with Figs. 7 and 8 show the illumination settings to have minimal impact on the extracted LER spatial metrics. The expected LER magnitude changes as reported in Ref. [1], however, are evident from the plots in Figs. 7 and 8. Dipole illumination improves the printed LER for the case of LER on the mask and degrades the printed LER for the case of mask multilayer roughness. Focus settings were also found to have significant impact on the mask-induced LER magnitude, especially for the case of the mask multilayer roughness [1]. Finally we consider 5

these same effects but from the perspective of LER spatial metrics. Table 2 shows the LER metric results for the aerial image at best focus and 110-nm defocus with annular illumination. 110-nm was chosen because this corresponds to the edge of the focus latitude based on an aerialimage contrast limit of 50%. The results are inconclusive for the HHCF method which shows a possible increase in measured correlation length for the mask LER case and a possible decrease in roughness exponent for the mask multilayer roughness case. The PSD method, on the other hand, shows a significant and consistent reduction in measured slope as seen from the roughness exponent. Figures 9 and 10 shows the corresponding LER characterization plots comparing the two different focus settings. These results again point to the PSD metrics as a potential mechanism for ascertaining the importance of mask effects in printed LER, this time by observing changes through focus. The focus results in Figs. 9 and 10 also indicate that the PSD could be used to distinguish between the two different forms of mask-induced LER. Discussion The results presented here indicate that the spatial LER metrics as observed from the LER PSD differ significantly for intrinsic resist LER compared to mask-induced LER. The difference, however, is not evident in the height-height correlation and sigma versus length functions. These results show that the LER PSD could be useful in ascertaining the importance of mask effects in the observed LER. Moreover, through-focus observation of the LER PSD could even allow the effects of mask absorber LER to be distinguished from mask multilayer-roughness induced LER. The fact that current resist LER typically exhibits the expected self-affine behavior in the PSD as observed in Fig. 1 suggests that mask roughness is presently not a dominant effect in observed LER limits which is consistent with previous conclusions [1]. 6

Although the potential utility of using the LER PSD to ascertain the importance of maskinduced LER has been demonstrated, exactly how sensitive this approach may be in practice has not yet been determined. This question will be addressed in future studies where the stochastic resist model effects [13] will be explicitly added to the simulations. The authors are grateful to Warren Montgomery of SEMATECH for program support. This work was supported by SEMATECH and carried out at Lawrence Berkeley National Laboratory's Advanced Light Source, which is supported by the U. S. Department of Energy under contract No. DE-AC02-05CH11231. 7

References 1. P. Naulleau, D. Niakoula, G. Zhang, System-level line-edge roughness limits in extreme ultraviolet lithography, J. Vac. Sci. & Technol. B, to be published (2008). 2. International Technology Roadmap for Semiconductors, 2006 Update, (http://www.itrs.net/links/2006update/2006updatefinal.htm) 3. P. Naulleau and G. Gallatin, The line-edge roughness transfer function and its application to determining mask effects in EUV resist characterization, Appl. Opt. 42, 3390-3397 (2003). 4. N. Beaudry, T. Milster, Effects of mask roughness and condenser scattering in EUVL systems, Proc. SPIE. 3676, 653-662 (1999). 5. P. Naulleau, The relevance of mask-roughness-induced printed line-edge roughness in recent and future EUV lithography tests, Appl. Opt. 43, 4025-4032 (2004). 6. D. He, F. Cerrina, Process dependence of roughness in a positive-tone chemically amplified resist, J. Vac. Sci. & Technol. B 16, 3748-3751 (1998). 7. V. Constantoudis, G. P. Patsis, A. Tserepi, E. Gogolides, Quantification of line-edge roughness of photoresists. II. Scaling and fractal analysis and the best roughness descriptors, J. Vac. Sci. & Technol. B 21, 1019-1026 (2003). 8. B. Bunday, M. Bishop, D. McCormack, Determination of optimal parameters for CD-SEM measurement of line-edge roughness, Proc. SPIE 5375, 515-533 (2004). 9. J. Cain, P. Naulleau, E. Gullikson, C. Spanos, Lithographic characterization of the flare in the Berkeley 0.3-numerical aperture extreme ultraviolet microfield optic, J. Vac. Sci. & Technol. B 24, 1234-1237 (2006). 8

10. K. Goldberg, P. Naulleau, P. Denham, S. Rekawa, K. Jackson, E. Anderson and J. Liddle, At-Wavelength Alignment and Testing of the 0.3 NA MET Optic, J. Vac. Sci. & Technol. B 22, 2956-2961 (2004). 11. P. Naulleau, J. Cain, K. Goldberg, Lithographic characterization of the spherical error in an EUV optic using a programmable pupil fill illuminator, Appl. Opt. 45, 1957-1963 (2006). 12. P. Naulleau, J. Cain, K. Goldberg, Lithographic characterization of the field dependent astigmatism and alignment stability of a 0.3 numerical aperture EUV microfield optic, J. Vac. Sci. & Technol. B 23, 2003-2006 (2005). 13. G. Gallatin, Resist blur and line edge roughness, Proc. SPIE 5754, 38-52 (2005). 14. G. Gallatin, P. Naulleau, R. Brainard, D. Niakoula, K. Dean, Resolution, LER and Sensitivity Limitations of Photoresists, Proc SPIE 6921, to be published (2008). 15. P. Naulleau and J. Cain, Experimental and model-based study of the robustness of line-edge roughness metric extraction in the presence of noise, J. Vac. Sci. & Technol. B 25, 1647-1657 (2007). 9

List of Figures Fig. 1. A summary of comparison results between experimental resist LER and the stochastic resist model for four different resist platforms. The reported R values correspond to the width of the deprotection blur function at the heart of the resist model [13] and is closely related to the correlation length of the LER. Fig. 2. SEM of 250-nm line-space patterns on the 5 mask corresponding to 50-nm patterns at the wafer. Fig. 3. The mask LER PSD (scaled to wafer coordinates) compared to the stochastic resist LER model (dashed line). Fig. 4. LER PSD of the projected mask image compared to the mask LER PSD from Fig. 3. A significant difference in morphology compared to the expected resist LER shape is observed. Fig. 5. LER height-height correlation and sigma versus length functions for the projected mask image. No significant morphological differences are evident between the projected mask LER functions and those expected from the stochastic resist model. Fig. 6. LER PSD, height-height correlation and sigma versus length functions for the projected mask image for the mask multilayer roughness case. Again, morphological differences between the mask-induced LER and expected resist LER are only observed in the PSD. Fig. 7. Projected LER spatial metrics for annular and dipole illumination considering mask absorber LER alone. (a) PSD, (b) HHCF, (c) sigma versus length. Fig. 8. Projected LER spatial metrics for annular and dipole illumination considering mask multilayer roughness alone. (a) PSD, (b) HHCF, (c) sigma versus length. 10

Fig. 9. Projected LER spatial metrics for annular illumination and two different focus settings (best focus and 110 nm defocus) considering mask absorber LER alone. (a) PSD, (b) HHCF, (c) sigma versus length. Fig. 10. Projected LER spatial metrics for annular illumination and two different focus settings (best focus and 110 nm defocus) considering mask multilayer roughness alone. (a) PSD, (b) HHCF, (c) sigma versus length. 11

Naulleau, et al., Fig. 1 12

Naulleau, et al., Fig. 2 13

Naulleau, et al., Fig. 3 10 0 10-2 PSD (nm 2 /μm -1 ) 10-4 10-6 10-8 10 0 10 1 10 2 10 3 Spatial Frequency (lines/μm) 14

Naulleau, et al., Fig. 4 10 0 10-2 Mask PSD (nm 2 /μm -1 ) 10-4 10-6 10-8 Aerial image 10-10 10 0 10 1 10 2 10 3 Spatial Frequency (lines per μm) 15

Naulleau, et al., Fig. 5 10 0 (a) Height-height correlation (nm) 10-1 Resist LER model Aerial image 10 0 10 2 10 4 Offset (nm) (b) 3-sigma roughness (nm) 10 0 10-1 Resist LER model Aerial image 10 1 10 2 10 3 Length (nm) 16

Naulleau, et al., Fig. 6 10-2 (a) PSD (nm 2 /μm -1 ) 10-4 10-6 10-8 10 0 10 1 10 2 10 3 Spatial Frequency (lines/μm) Height-height correlation (nm) 10-1 (b) 10 1 10 2 10 3 Offset (nm) (c) 3-sigma roughness (nm) 10-0.3 10-0.5 10-0.7 10 1 10 2 10 3 Length (nm) 17

Naulleau, et al., Fig. 7 10-2 annular PSD (nm 2 /μm -1 ) 10-4 10-6 10-8 Dipole 10-10 10 0 10 0 10 1 10 2 10 3 Spatial Frequency (lines/μm) Height-height correlation (nm) 10-1 annular Dipole 10 1 10 2 10 3 Offset (nm) 3-sigma roughness (nm) 10 0 annular Dipole 10-1 10 1 10 2 10 3 Length (nm) 18

Naulleau, et al., Fig. 8 10-2 Dipole PSD (nm 2 /μm -1 ) 10-4 10-6 annular 10-8 10 0 10 1 10 2 10 3 Spatial Frequency (lines/μm) Height-height correlation (nm) 10 0 Dipole annular 10-1 10 1 10 2 10 3 Offset (nm) 3-sigma roughness (nm) 10 0 Dipole annular 10-1 10 1 10 2 10 3 Length (nm) 19

Naulleau, et al., Fig. 9 10-2 PSD (nm 2 /μm -1 ) 10-4 10-6 10-8 defocus Best focus Height-height correlation (nm) 10-10 10 0 10 1 10 2 10 3 Spatial Frequency (lines/μm) 10 0 Best focus defocus 10-1 10 1 10 2 10 3 Offset (nm) 3-sigma roughness (nm) 10 0 Best focus defocus 10-1 10 1 10 2 10 3 Length (nm) 20

Naulleau, et al., Fig. 10 10-2 defocus PSD (nm 2 /μm -1 ) 10-4 10-6 Best focus 10-8 10 0 10 1 10 2 10 3 Spatial Frequency (lines/μm) Height-height correlation (nm) 10 0 10-1 Best focus defocus 10 1 10 2 10 3 Offset (nm) 3-sigma roughness (nm) 10 0 defocus Best focus 10-1 10 1 10 2 10 3 Length (nm) 21

Naulleau, et al., Table 1 Mask LER Mask Multilayer Roughness HHCF PSD HHCF PSD Lc (nm) α Lc (nm) α Lc (nm) α Lc (nm) α Annular 34.5 0.85 33.8 5 16.2 0.97 33.2 6 Dipole 38.4 0.82 31.6 5 16.2 0.91 32.1 6 22

Naulleau, et al., Table 2 Mask LER Mask Multilayer Roughness HHCF PSD HHCF PSD Lc (nm) α Lc (nm) α Lc (nm) α Lc (nm) α Best Focus 34.5 0.85 33.8 5 16.2 0.97 33.2 6 110-nm defocus 47.8 0.83 31.6 1.9 18.8 0.88 32.8 3.2 23