* AIT-4: Aberrations. Copyright 2006, Regents of University of California

Size: px
Start display at page:

Download "* AIT-4: Aberrations. Copyright 2006, Regents of University of California"

Transcription

1 Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-: LER and Chemically Amplified Resists AIT-: Resolution Enhancement and PSM AIT-3: Small Features and Defects * AIT-4: Aberrations AIT-5: Maskless, High-NA, Immersion, EUV, Imprint AIT-6: Electron Beam Lithography Each module is a 0-5 min presentation of about a dozen slides. Suggested reading: Griffin: Plummer, Deal and Chapter 5 Sheats and Smith: 88-96, 4-33, 48-5, 8-88,, 4-3 Wong: 3-45, 55-58, 7-90, Fig 4., Fig. 4.0

2 Mask Lens Wafer Optical System as Fourier Optics Relationship for electric fields The lens is in the far field of the mask and sees the Fraunhoffer diffraction electric field which is the Fourier transform of the electric field emerging gfrom the mask. The lens passes only rays with wave angles inside the NA circle and is thus a D low pass filter. The lens re-phases the remaining emerging rays so that t they reconverge at the wafer with the same relative phases which is equivalent to the inverse Fourier transform.

3 (Sinθ x, Sinθ x ) wave accounting system σna Sinθ Y NA Renormalize e so that this point is.0 Lens Pupil Sinθ MAX = NA.0 Sinθ X Lens Illumination Sinθ MAX = σna Location (Sinθ x, Sinθ x ) corresponds to a ray making angles θ x and θ Y with the downward z-axis

4 Pupil position accounting system Divide by NA Sinθ Y /NA New variables r = {0,} α ={0, π} σ r α Lens Pupil Sinθ MAX / NA =.0 Sinθ X /NA Lens Illumination Sinθ MAX / NA = σ Location (Sinθ x /NA, Sinθ x /NA) corresponds to a ray making angles θ x and θ Y with the downward z-axis

5 Optical Path Difference The optical path difference (OPD) is the phase error over the pupil between rays for the actual lens and those for a perfect diffraction limited lens. The OPD is usually normalized to wavelengths. The OPD contributes an additional phase factor of e j(π/λ)opd in the integration of the rays in computing the electric field at the image. Born and Wolf 7 th ed, Ch 9 For primary aberrations like tilt, defocus, spherical, coma, and astigmatism a power series is used Φ = A n, m n ρ cos m θ Zernike introduced the so called circle polynomials that are orthonormal on the unit circle to describe aberrations. n m Φ = A00 + An 0Rn ( ρ) + AnmRn ( ρ) cos mθ n= n= m= ±mm R n () = Primary Coma => orthogonal components => tilt + balanced coma

6 Expansion of Zernike The Zernike polynomials are individual functional variations in radius r and angle α in an orthonormal expansion over the lens pupil normalized to radius. Z Tilt in x is r cos(α) Z7 is Coma in x with Tilt removed or so called balanced coma. Sheats and Smith, pp. 4

7 Strehl Ratio The peak value of the point spread function decreases proportionally to the square of the RMS value of the aberrations present. The Strehl Ratio is defined as the ratio of the peak value with aberrations to the peak value without aberrations. RMS OPD = (P-V OPD)/3.5 The Strehl Ratio is approximately - 4π (RMS OPD) Sheats and Smith pp 55

8 Strehl Ratio vs. Peak-to-Valley OPC New projection printers have Strehl Ratios over => aberrations of 0.05 RMS or 0.09 PV The human eye works best in bright light when the pupil is small and typically has a Strehl ratio of 0.6.

9 Mathematics of Aberrations and OPD The electric field produce by the convergence of the cone of plane waves from the pupil at any point x on the wafer is given by integrating ti the waves over the pupil. E( x) = Pupil When OPD is small E( x) π π π 00 Spectrum E( r, α) e e jkopd jkopd( r, α ) Optical Path Difference e jkopd jk x = E( r, α)[ jkopd( r, α) ] e π 0 0 rδrδα Kernel Green s Function jk x rδrδα Area element Unaberrated E-Field Aberration Ghost E-Field

10 Finding the Strehl Ratio E( x) = π π 0 0 E( r, α) e jk x e jkopd( r, α ) rδrδα To find the Strehl ratio (relative value the peak intensity in the presence of an aberration) we only need look at x = 0 and assume that E(r,a) is produced by a small pin hole and thus constant. This greatly simplifies ifi the integral to When the OPD is small E(0) = π r α e jkopd (, ) π 0 0 rδrδα π k k E(0) = [ jkopd( r, α) OPD ( r, α)] rδrδα = jkφ π 00 Where Φ is the average of OPD over the pupil The intensity is given by 4π Orthogonal for Zernike s k k E( 0) = jkφ Φ = Φ jkφ k Φ + k π ( Φ) = 4π Φ = 4π Φn, m = 4 n, m Φ Zero for Zernike s n, m A n, m

11 Simple Coma 0.0 Waves 3 space pattern Coma Unaberrated This bump suggests ways to monitor coma.

12 Aberration E-Field Point-Spread Functions n = 0 n = Each aberration has a characteristic e-field point spread function. Note that the sidelobe levels are as high (0.5) as those for the diffraction limited point-spread function and have maxima at various radii. Garth Robins and Kostas Adam

13 Programmed-Probe Aberration Targets 0.6 λ/na Line 0.5 λ/na 0.4 λ/na 80 o Sq. Defect Sidelobe The04l/NAby04λ/NA programmed 80 o phase defect provides an interferometric like reference electric field with magnitude 0.43 and phase of 80 o compared to the clear area field. Detect Sidelobe by over exposing.5x and using automatic wafer inspection Coma Sidelobe -0. wave => wave => wave => 0 0.

14 Defect-Probe Aberration Targets Probe Dark Field Patterns Grid is 0. λ/na Astigmatism Spherical at 90 o Trefoil Coma at 0 o at 80 o Lens aberrations cause lateral spillover of light in imaging in directions related to the aberration symmetry.

15 Pattern-and-Interferometric-Probe Aberration Monitors Garth Robins (λ/na) (λ/na) Defocus Spherical HO Spherical Mask phases yellow = 0 green = 90 red = 80 Defocus target Experiment on AIMS at low NA looks just like simulation! ity (00% CF) Coma Intens (λ/na) HO Coma (λ/na) (λ/na) Discovered through simulation Lead to a new theory Becoming a practical technology Probe Position x-position in field (pixels)

16 Phase Shift Mask Monitors Developed for: Polarization Monitoring Greg McIntyre Design Image (simulated) ) I (center) NA 0: : :0 Polarization Ratio (X:Y) Take advantage of high-na vector effects Illumination Monitoring PSM Performance Beam steering with 4- phase grating Mask Resist Proximity effect and beam steering Use orthogonality of phase and transmission errors

17 Interferometric Probe Monitors: Verification RZ3_055cr Annular.6/.0 PSM Monitors: Limits of Nature or Man? Thin Mask Thick Mask Experiment Peak Intensity (00% CF) RZ3055cr_all probe T (no probe, 80 inner ring) 0. Mask making T (70 probe, 80 inner ring) 0 EM effects T3 (90 probe, 80 inner ring) Pupil Shift probe. Orientation dependence. Feature size/depth error -RU 0 Focal Plane step = 0.45 RU +RU Garth Robins and Greg McIntyre

18 Projection Printer Examples Mask Wafer Stepper Mask Wafer Scanner Sheats and Smith

19 Impact of Aberrations: Magnitude ½ OPC 0.05 waves of RMS aberration Strehl Ratio = Adjust L Point of It Interestt Take Cutline Line End Cha ange L (λ λ/na) dx (λ/na A) Line end position change of a 0.6 λ/na line vs. linewidth of an adjacent line 0.05 σ = Width (L) in λ/na Linewidth L (λ/na) The effects of aberrations are typically half that of the proximity effect of an adjacent figure OPC Coma Spherical HO Coma

20 Basic Aberrations in Projection Printing These are simple aberrations that are not always orthogonal to each other (e.g. coma contains tilt.)

AIT-1: LER and Chemically Amplified Resists. AIT-4: Aberrations AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

AIT-1: LER and Chemically Amplified Resists. AIT-4: Aberrations AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: xplain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: R and Chemically Amplified Resists

More information

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with. Qualitative Explanation of image degradation by lens Mask + 2 lens wafer plane +1 φ 0 parallel optical beam -2-1 grating with θ spatial frequency 1/P Psin φ = n λ n = 0, ± 1, ± 2,... L S P l m P=2L sin

More information

Purpose: Explain the top 10 phenomena and concepts key to

Purpose: Explain the top 10 phenomena and concepts key to Basic rojection rinting (B) Modules urpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing B-1: Resolution and Depth of Focus (1.5X) B-2: Bragg condition and

More information

Wavefront Sensing using Polarization Shearing Interferometer. A report on the work done for my Ph.D. J.P.Lancelot

Wavefront Sensing using Polarization Shearing Interferometer. A report on the work done for my Ph.D. J.P.Lancelot Wavefront Sensing using Polarization Shearing Interferometer A report on the work done for my Ph.D J.P.Lancelot CONTENTS 1. Introduction 2. Imaging Through Atmospheric turbulence 2.1 The statistics of

More information

Lens Design II. Lecture 1: Aberrations and optimization Herbert Gross. Winter term

Lens Design II. Lecture 1: Aberrations and optimization Herbert Gross. Winter term Lens Design II Lecture 1: Aberrations and optimization 18-1-17 Herbert Gross Winter term 18 www.iap.uni-jena.de Preliminary Schedule Lens Design II 18 1 17.1. Aberrations and optimization Repetition 4.1.

More information

Part 1 - Basic Interferometers for Optical Testing

Part 1 - Basic Interferometers for Optical Testing Part 1 - Basic Interferometers for Optical Testing Two Beam Interference Fizeau and Twyman-Green interferometers Basic techniques for testing flat and spherical surfaces Mach-Zehnder Zehnder,, Scatterplate

More information

Design and Correction of optical Systems

Design and Correction of optical Systems Design and Correction of optical Systems Part 10: Performance criteria 1 Summer term 01 Herbert Gross Overview 1. Basics 01-04-18. Materials 01-04-5 3. Components 01-05-0 4. Paraxial optics 01-05-09 5.

More information

AOL Spring Wavefront Sensing. Figure 1: Principle of operation of the Shack-Hartmann wavefront sensor

AOL Spring Wavefront Sensing. Figure 1: Principle of operation of the Shack-Hartmann wavefront sensor AOL Spring Wavefront Sensing The Shack Hartmann Wavefront Sensor system provides accurate, high-speed measurements of the wavefront shape and intensity distribution of beams by analyzing the location and

More information

Design and Correction of Optical Systems

Design and Correction of Optical Systems Design and Correction of Optical Systems Lecture 7: PSF and Optical transfer function 017-05-0 Herbert Gross Summer term 017 www.iap.uni-jena.de Preliminary Schedule - DCS 017 1 07.04. Basics 1.04. Materials

More information

n The visual examination of the image of a point source is one of the most basic and important tests that can be performed.

n The visual examination of the image of a point source is one of the most basic and important tests that can be performed. 8.2.11 Star Test n The visual examination of the image of a point source is one of the most basic and important tests that can be performed. Interpretation of the image is to a large degree a matter of

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Physical Optics. Lecture 2: Diffraction Herbert Gross.

Physical Optics. Lecture 2: Diffraction Herbert Gross. Physical Optics Lecture : Diffraction 018-04-18 Herbert Gross www.iap.uni-jena.de Physical Optics: Content No Date Subject Ref Detailed Content 1 11.04. Wave optics G Complex fields, wave equation, k-vectors,

More information

Wavefront aberration analysis with a multi-order diffractive optical element

Wavefront aberration analysis with a multi-order diffractive optical element Wavefront aberration analysis with a multi-order diffractive optical element P.A. Khorin 1, S.A. Degtyarev 1,2 1 Samara National Research University, 34 Moskovskoe Shosse, 443086, Samara, Russia 2 Image

More information

Optical/IR Observational Astronomy Telescopes I: Optical Principles. David Buckley, SAAO. 24 Feb 2012 NASSP OT1: Telescopes I-1

Optical/IR Observational Astronomy Telescopes I: Optical Principles. David Buckley, SAAO. 24 Feb 2012 NASSP OT1: Telescopes I-1 David Buckley, SAAO 24 Feb 2012 NASSP OT1: Telescopes I-1 1 What Do Telescopes Do? They collect light They form images of distant objects The images are analyzed by instruments The human eye Photographic

More information

Wavefront Optics for Vision Correction

Wavefront Optics for Vision Correction Wavefront Optics for Vision Correction Guang-mingDai SPIE PRESS Bellingham, Washington USA Contents Preface Symbols, Notations, and Abbreviations xiii xv 1 Introduction 1 1.1 Wavefront Optics and Vision

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Response of DIMM turbulence sensor

Response of DIMM turbulence sensor Response of DIMM turbulence sensor A. Tokovinin Version 1. December 20, 2006 [tdimm/doc/dimmsensor.tex] 1 Introduction Differential Image Motion Monitor (DIMM) is an instrument destined to measure optical

More information

IMPROVING BEAM QUALITY NEW TELESCOPE ALIGNMENT PROCEDURE

IMPROVING BEAM QUALITY NEW TELESCOPE ALIGNMENT PROCEDURE IMPROVING BEAM QUALITY NEW TELESCOPE ALIGNMENT PROCEDURE by Laszlo Sturmann Fiber coupled combiners and visual band observations are more sensitive to telescope alignment problems than bulk combiners in

More information

Chapter 6 Aberrations

Chapter 6 Aberrations EE90F Chapter 6 Aberrations As we have seen, spherical lenses only obey Gaussian lens law in the paraxial approxiation. Deviations fro this ideal are called aberrations. F Rays toward the edge of the pupil

More information

Microscopy. Lecture 3: Physical optics of widefield microscopes Herbert Gross. Winter term

Microscopy. Lecture 3: Physical optics of widefield microscopes Herbert Gross. Winter term Microscopy Lecture 3: Physical optics of widefield microscopes --9 Herbert Gross Winter term www.iap.uni-jena.de Preliminary time schedule No Date Main subject Detailed topics Lecturer 5.. Optical system

More information

Modulation Transfert Function

Modulation Transfert Function Modulation Transfert Function Summary Reminders : coherent illumination Incoherent illumination Measurement of the : Sine-wave and square-wave targets Some examples Reminders : coherent illumination We

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Working with Zernike Polynomials

Working with Zernike Polynomials AN06 Working with Zernike Polynomials Author: Justin D. Mansell, Ph.D. Active Optical Systems, LLC Revision: 6/6/0 In this application note we are documenting some of the properties of Zernike polynomials

More information

Distortion mapping correction in aspheric null testing

Distortion mapping correction in aspheric null testing Distortion mapping correction in aspheric null testing M. Novak, C. Zhao, J. H. Burge College of Optical Sciences, 1630 East University Boulevard, Tucson, AZ, 85721 ABSTRACT We describe methods to correct

More information

Optics Optical Testing and Testing Instrumentation Lab

Optics Optical Testing and Testing Instrumentation Lab Optics 513 - Optical Testing and Testing Instrumentation Lab Lab #6 - Interference Microscopes The purpose of this lab is to observe the samples provided using two different interference microscopes --

More information

Measuring aberrations in lithographic projection systems with phase wheel targets

Measuring aberrations in lithographic projection systems with phase wheel targets Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 12-1-2010 Measuring aberrations in lithographic projection systems with phase wheel targets Lena Zavyalova Follow

More information

Physical Optics. Lecture 4: Quality criteria and resolution Herbert Gross.

Physical Optics. Lecture 4: Quality criteria and resolution Herbert Gross. Physical Optics Lecture 4: Quality criteria and resolution 018-05-0 Herbert Gross www.iap.uni-jena.de Physical Optics: Content No Date Subject Ref Detailed Content 1 11.04. Wave optics G Complex fields,

More information

Zernike Polynomials and Beyond

Zernike Polynomials and Beyond Zernike Polynomials and Beyond "Introduction to Aberrations" ExP x W S O OA R P(x g, ) P z y zg Virendra N. Mahajan The Aerospace Corporation Adjunct Professor El Segundo, California 945 College of Optical

More information

Nonparaxial analysis of continuous self-imaging gratings in oblique illumination

Nonparaxial analysis of continuous self-imaging gratings in oblique illumination Druart et al. Vol. 4, No. 0/October 007/J. Opt. Soc. Am. A 3379 Nonparaxial analysis of continuous self-imaging gratings in oblique illumination Guillaume Druart,, * Nicolas Guérineau, Riad Haïdar, Jérôme

More information

Imaging Metrics. Frequency response Coherent systems Incoherent systems MTF OTF Strehl ratio Other Zemax Metrics. ECE 5616 Curtis

Imaging Metrics. Frequency response Coherent systems Incoherent systems MTF OTF Strehl ratio Other Zemax Metrics. ECE 5616 Curtis Imaging Metrics Frequenc response Coherent sstems Incoherent sstems MTF OTF Strehl ratio Other Zema Metrics Where we are going with this Use linear sstems concept of transfer function to characterize sstem

More information

Interference, Diffraction and Fourier Theory. ATI 2014 Lecture 02! Keller and Kenworthy

Interference, Diffraction and Fourier Theory. ATI 2014 Lecture 02! Keller and Kenworthy Interference, Diffraction and Fourier Theory ATI 2014 Lecture 02! Keller and Kenworthy The three major branches of optics Geometrical Optics Light travels as straight rays Physical Optics Light can be

More information

31. Diffraction: a few important illustrations

31. Diffraction: a few important illustrations 31. Diffraction: a few important illustrations Babinet s Principle Diffraction gratings X-ray diffraction: Bragg scattering and crystal structures A lens transforms a Fresnel diffraction problem into a

More information

Strehl ratio and optimum focus of high-numerical-aperture beams

Strehl ratio and optimum focus of high-numerical-aperture beams Strehl ratio and optimum focus of high-numerical-aperture beams Augustus J.E.M. Janssen a, Sven van Haver b, Joseph J.M. Braat b, Peter Dirksen a a Philips Research Europe, HTC 36 / 4, NL-5656 AE Eindhoven,

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

CHARA Meeting 2017 Pasadena, California

CHARA Meeting 2017 Pasadena, California MORE AUTOMATION Laszlo Sturmann M7 ACTUATORS LAB. LASER ALIGNMENT TELESCOPE OPTICAL ALIGNMENT NEW ACTUATORS REMOTELY ACTUATED M7 MOUNT MOTIVATION THE PRECISION OF THE COUDE ALIGNMENT WAS NOT SUFFICIENT

More information

Using a Membrane DM to Generate Zernike Modes

Using a Membrane DM to Generate Zernike Modes Using a Membrane DM to Generate Zernike Modes Author: Justin D. Mansell, Ph.D. Active Optical Systems, LLC Revision: 12/23/08 Membrane DMs have been used quite extensively to impose a known phase onto

More information

Introduction to Interferometer and Coronagraph Imaging

Introduction to Interferometer and Coronagraph Imaging Introduction to Interferometer and Coronagraph Imaging Wesley A. Traub NASA Jet Propulsion Laboratory and Harvard-Smithsonian Center for Astrophysics Michelson Summer School on Astrometry Caltech, Pasadena

More information

Course 2: Basic Technologies

Course 2: Basic Technologies Course 2: Basic Technologies Part II: X-ray optics What do you see here? Seite 2 wavefront distortion http://www.hyperiontelescopes.com/performance12.php http://astronomy.jawaid1.com/articles/spherical%20ab

More information

Astro 500 A500/L-7 1

Astro 500 A500/L-7 1 Astro 500 1 Telescopes & Optics Outline Defining the telescope & observatory Mounts Foci Optical designs Geometric optics Aberrations Conceptually separate Critical for understanding telescope and instrument

More information

Nature of Light Part 2

Nature of Light Part 2 Nature of Light Part 2 Fresnel Coefficients From Helmholts equation see imaging conditions for Single lens 4F system Diffraction ranges Rayleigh Range Diffraction limited resolution Interference Newton

More information

Astronomy 203 practice final examination

Astronomy 203 practice final examination Astronomy 203 practice final examination Fall 1999 If this were a real, in-class examination, you would be reminded here of the exam rules, which are as follows: You may consult only one page of formulas

More information

Optics for Engineers Chapter 11

Optics for Engineers Chapter 11 Optics for Engineers Chapter 11 Charles A. DiMarzio Northeastern University Nov. 212 Fourier Optics Terminology Field Plane Fourier Plane C Field Amplitude, E(x, y) Ẽ(f x, f y ) Amplitude Point Spread

More information

An Example of Telescope Resolution

An Example of Telescope Resolution An Example of Telescope Resolution J. Kielkopf September 23, 2012 1 Principles Light leaves a distant source with the properties of a spherical wave. That is, the phase of the wave is constant on the surface

More information

Generalized formulations for aerial image based lens aberration metrology in lithographic tools with arbitrarily shaped illumination sources

Generalized formulations for aerial image based lens aberration metrology in lithographic tools with arbitrarily shaped illumination sources Generalized formulations for aerial image based lens aberration metrology in lithographic tools with arbitrarily shaped illumination sources Wei Liu, hiyuan Liu, 2,* Tielin hi, and Zirong Tang Wuhan National

More information

CHEM-E5225 :Electron Microscopy Imaging

CHEM-E5225 :Electron Microscopy Imaging CHEM-E5225 :Electron Microscopy Imaging 2016.10 Yanling Ge Outline Planar Defects Image strain field WBDF microscopy HRTEM information theory Discuss of question homework? Planar Defects - Internal Interface

More information

Aerial image based lens metrology for wafer steppers

Aerial image based lens metrology for wafer steppers Aerial image based lens metrology for wafer steppers P. Dirksen*, J.J.M. Braat**, A.J.E.M. Janssen*, T. Matsuyama***, T. Noda*** *Philips Research Europe, Belgium **Delft University of Technology, The

More information

LC circuit: Energy stored. This lecture reviews some but not all of the material that will be on the final exam that covers in Chapters

LC circuit: Energy stored. This lecture reviews some but not all of the material that will be on the final exam that covers in Chapters Disclaimer: Chapter 29 Alternating-Current Circuits (1) This lecture reviews some but not all of the material that will be on the final exam that covers in Chapters 29-33. LC circuit: Energy stored LC

More information

Applications of the Abbe Sine Condition in Multi-Channel Imaging Systems

Applications of the Abbe Sine Condition in Multi-Channel Imaging Systems Applications of the Abbe Sine Condition in Multi-Channel Imaging Systems Barbara Kruse, University of Arizona, College of Optical Sciences May 6, 2016 Abstract Background In multi-channel imaging systems,

More information

Phase Retrieval for the Hubble Space Telescope and other Applications Abstract: Introduction: Theory:

Phase Retrieval for the Hubble Space Telescope and other Applications Abstract: Introduction: Theory: Phase Retrieval for the Hubble Space Telescope and other Applications Stephanie Barnes College of Optical Sciences, University of Arizona, Tucson, Arizona 85721 sab3@email.arizona.edu Abstract: James R.

More information

Spatial Frequency and Transfer Function. columns of atoms, where the electrostatic potential is higher than in vacuum

Spatial Frequency and Transfer Function. columns of atoms, where the electrostatic potential is higher than in vacuum Image Formation Spatial Frequency and Transfer Function consider thin TEM specimen columns of atoms, where the electrostatic potential is higher than in vacuum electrons accelerate when entering the specimen

More information

High-Resolution. Transmission. Electron Microscopy

High-Resolution. Transmission. Electron Microscopy Part 4 High-Resolution Transmission Electron Microscopy 186 Significance high-resolution transmission electron microscopy (HRTEM): resolve object details smaller than 1nm (10 9 m) image the interior of

More information

Making FEA Results Useful in Optical Analysis Victor Genberg, Gregory Michels Sigmadyne, Inc. Rochester, NY

Making FEA Results Useful in Optical Analysis Victor Genberg, Gregory Michels Sigmadyne, Inc. Rochester, NY Making FEA Results Useful in Optical Analysis Victor Genberg, Gregory Michels Sigmadyne, Inc. Rochester, NY Keith Doyle Optical Research Associates,Westborough, MA ABSTRACT Thermal and structural output

More information

Euclid/NISP grism qualification model AIT/AIV campaign: optical, mechanical, thermal and vibration tests

Euclid/NISP grism qualification model AIT/AIV campaign: optical, mechanical, thermal and vibration tests Amandine.caillat@lam.fr Euclid/NISP grism qualification model AIT/AIV campaign: optical, mechanical, thermal and vibration tests 18/10/2016 Paper 61 ICSO 2016 - Biarritz Amandine Caillat a, Anne Costille

More information

Aerial image based lens metrology for wafer steppers

Aerial image based lens metrology for wafer steppers Aerial image based lens metrology for wafer steppers Peter Dirksen a, Joseph J.M. Braat b, Augustus J.E.M. Janssen c, Ad Leeuwestein c,tomoyuki Matsuyama d,tomoyanoda d a Philips Research Europe, Belgium

More information

Measurement of specimen-induced aberrations of biological. samples using phase stepping interferometry

Measurement of specimen-induced aberrations of biological. samples using phase stepping interferometry Journal of Microscopy, Vol. 213, Pt 1 January 2004, pp. 11 19 Received 10 June 2003; accepted 2 September 2003 Measurement of specimen-induced aberrations of biological Blackwell Publishing Ltd. samples

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Optics for Engineers Chapter 11

Optics for Engineers Chapter 11 Optics for Engineers Chapter 11 Charles A. DiMarzio Northeastern University Apr. 214 Fourier Optics Terminology Apr. 214 c C. DiMarzio (Based on Optics for Engineers, CRC Press) slides11r1 1 Fourier Optics

More information

Simulation of specimen-induced aberrations for objects with

Simulation of specimen-induced aberrations for objects with Journal of Microscopy, Vol. 215, Pt 3 September 2004, pp. 271 280 Received 5 February 2004; accepted 27 April 2004 Simulation of specimen-induced aberrations for objects with Blackwell Publishing, Ltd.

More information

Horizontal-Vertical (H-V) Bias, part 2

Horizontal-Vertical (H-V) Bias, part 2 Tutor52.doc: Version 11/4/05 T h e L i t h o g r a p h y E x p e r t (February 2006) Horizontal-Vertical (H-V) Bias, part 2 Chris A. Mack, Austin, Texas In the last edition of this column we looked at

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

5. LIGHT MICROSCOPY Abbe s theory of imaging

5. LIGHT MICROSCOPY Abbe s theory of imaging 5. LIGHT MICROSCOPY. We use Fourier optics to describe coherent image formation, imaging obtained by illuminating the specimen with spatially coherent light. We define resolution, contrast, and phase-sensitive

More information

Designing a Computer Generated Hologram for Testing an Aspheric Surface

Designing a Computer Generated Hologram for Testing an Aspheric Surface Nasrin Ghanbari OPTI 521 Graduate Report 2 Designing a Computer Generated Hologram for Testing an Aspheric Surface 1. Introduction Aspheric surfaces offer numerous advantages in designing optical systems.

More information

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives Performance Enhancement of 157 nm Newtonian Catadioptric Objectives James Webb, Timothy Rich, Anthony Phillips and Jim Cornell Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450, 585-377-3200

More information

Orthonormal vector polynomials in a unit circle, Part I: basis set derived from gradients of Zernike polynomials

Orthonormal vector polynomials in a unit circle, Part I: basis set derived from gradients of Zernike polynomials Orthonormal vector polynomials in a unit circle, Part I: basis set derived from gradients of ernike polynomials Chunyu hao and James H. Burge College of Optical ciences, the University of Arizona 630 E.

More information

Chapter 35. Interference

Chapter 35. Interference Chapter 35 Interference The concept of optical interference is critical to understanding many natural phenomena, ranging from color shifting in butterfly wings to intensity patterns formed by small apertures.

More information

Introduction to aberrations OPTI518 Lecture 5

Introduction to aberrations OPTI518 Lecture 5 Introduction to aberrations OPTI518 Lecture 5 Second-order terms 1 Second-order terms W H W W H W H W, cos 2 2 000 200 111 020 Piston Change of image location Change of magnification 2 Reference for OPD

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

UNIT-5 EM WAVES UNIT-6 RAY OPTICS

UNIT-5 EM WAVES UNIT-6 RAY OPTICS UNIT-5 EM WAVES 2 Marks Question 1. To which regions of electromagnetic spectrum do the following wavelengths belong: (a) 250 nm (b) 1500 nm 2. State any one property which is common to all electromagnetic

More information

Optics.

Optics. Optics www.optics.rochester.edu/classes/opt100/opt100page.html Course outline Light is a Ray (Geometrical Optics) 1. Nature of light 2. Production and measurement of light 3. Geometrical optics 4. Matrix

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 7, July-2013 96 Performance and Evaluation of Interferometric based Wavefront Sensors M.Mohamed Ismail1, M.Mohamed Sathik2 Research

More information

PH880 Topics in Physics

PH880 Topics in Physics PH880 Topics in Physics Modern Optical Imaging (Fall 2010) Monday Fourier Optics Overview of week 3 Transmission function, Diffraction 4f telescopic system PSF, OTF Wednesday Conjugate Plane Bih Bright

More information

Wavefront Analysis for Annular Ellipse Aperture

Wavefront Analysis for Annular Ellipse Aperture Wavefront Analysis for Annular Ellipse Aperture Sundus Y. Hasan Physics Department, Education College for Girls, Kufa University, Najaf 541, Iraq Corresponding author: sunds4@yahoo.com Abstract The orthonormal

More information

If the wavelength is larger than the aperture, the wave will spread out at a large angle. [Picture P445] . Distance l S

If the wavelength is larger than the aperture, the wave will spread out at a large angle. [Picture P445] . Distance l S Chapter 10 Diffraction 10.1 Preliminary Considerations Diffraction is a deviation of light from rectilinear propagation. t occurs whenever a portion of a wavefront is obstructed. Hecht; 11/8/010; 10-1

More information

5. Aberration Theory

5. Aberration Theory 5. Aberration Theory Last lecture Matrix methods in paraxial optics matrix for a two-lens system, principal planes This lecture Wavefront aberrations Chromatic Aberration Third-order (Seidel) aberration

More information

Transmission Electron Microscopy

Transmission Electron Microscopy L. Reimer H. Kohl Transmission Electron Microscopy Physics of Image Formation Fifth Edition el Springer Contents 1 Introduction... 1 1.1 Transmission Electron Microscopy... 1 1.1.1 Conventional Transmission

More information

DISPERSION VERY SHORT ANSWER QUESTIONS. Two identical prisms made of the same material placed with their based on opposite sides (of the

DISPERSION VERY SHORT ANSWER QUESTIONS. Two identical prisms made of the same material placed with their based on opposite sides (of the DISPERSION VERY SHORT ANSWER QUESTIONS Q-1. What will be the spectrum of sun during a total solar eclipse? Q-2. Why the secondary rainbow is always fainter than the primary rainbow? Q-3. Two identical

More information

2.71. Final examination. 3 hours (9am 12 noon) Total pages: 7 (seven) PLEASE DO NOT TURN OVER UNTIL EXAM STARTS PLEASE RETURN THIS BOOKLET

2.71. Final examination. 3 hours (9am 12 noon) Total pages: 7 (seven) PLEASE DO NOT TURN OVER UNTIL EXAM STARTS PLEASE RETURN THIS BOOKLET 2.71 Final examination 3 hours (9am 12 noon) Total pages: 7 (seven) PLEASE DO NOT TURN OVER UNTIL EXAM STARTS Name: PLEASE RETURN THIS BOOKLET WITH YOUR SOLUTION SHEET(S) MASSACHUSETTS INSTITUTE OF TECHNOLOGY

More information

1. The y-component of the vector A + B is given by

1. The y-component of the vector A + B is given by Name School PHYSICS CONTEST EXAMINATION 2015 January 31, 2015 Please use g as the acceleration due to gravity at the surface of the earth unless otherwise noted. Please note that i^, j^, and k^ are unit

More information

Overview of Aberrations

Overview of Aberrations Overview of Aberrations Lens Design OPTI 57 Aberration From the Latin, aberrare, to wander from; Latin, ab, away, errare, to wander. Symmetry properties Overview of Aberrations (Departures from ideal behavior)

More information

LAB DEMONSTRATION OF INTERFEROMETRIC

LAB DEMONSTRATION OF INTERFEROMETRIC LAB DEMONSTRATION OF INTERFEROMETRIC MEASUREMENT USING A TEST PLATE AND CGH Presented to: Larry Stepp Eric Hansen The Association of Universities for Research in Astronomy, Inc. Tucson, AZ, 85726 Prepared

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

The maximum value of the acceleration occurs when sin=1 with magnitude

The maximum value of the acceleration occurs when sin=1 with magnitude SOLUTIONS 1231 T1 Q1. SHM Vibrating Strip (a)(i) For SHM, y = Asin(ωt + φ ) for amplitude A and angular frequency ω. Set φ = 0. (ii) The velocity is given by v = dy dx = ωa cosωt The maximum speed vm occurs

More information

Engineering Physics 1 Prof. G.D. Vermaa Department of Physics Indian Institute of Technology-Roorkee

Engineering Physics 1 Prof. G.D. Vermaa Department of Physics Indian Institute of Technology-Roorkee Engineering Physics 1 Prof. G.D. Vermaa Department of Physics Indian Institute of Technology-Roorkee Module-04 Lecture-02 Diffraction Part - 02 In the previous lecture I discussed single slit and double

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2011 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 δ + iβ δ, β

More information

Alignment metrology for the Antarctica Kunlun Dark Universe Survey Telescope

Alignment metrology for the Antarctica Kunlun Dark Universe Survey Telescope doi:10.1093/mnras/stv268 Alignment metrology for the Antarctica Kunlun Dark Universe Survey Telescope Zhengyang Li, 1,2,3 Xiangyan Yuan 1,2 and Xiangqun Cui 1,2 1 National Astronomical Observatories/Nanjing

More information

ABSTRACT. * phone ; fax ;

ABSTRACT. * phone ; fax ; As-Fab Elements Design vs. Reality Victor E. Densmore*, Christopher M. Shanor, Isela D. Howlett, Kate Medicus, Tilman Stuhlinger, Kenneth R. Castle Ruda Cardinal Inc., 3280 E. Hemisphere Loop, #180, Tucson,

More information

Adaptive Optics Lectures

Adaptive Optics Lectures Adaptive Optics Lectures 1. Atmospheric turbulence Andrei Tokovinin 1 Resources CTIO: www.ctio.noao.edu/~atokovin/tutorial/index.html CFHT AO tutorial: http://www.cfht.hawaii.edu/instruments/imaging/aob/other-aosystems.html

More information

PH 222-3A Spring 2010

PH 222-3A Spring 2010 PH -3A Spring 010 Interference Lecture 6-7 Chapter 35 (Halliday/Resnick/Walker, Fundamentals of Physics 8 th edition) 1 Chapter 35 Interference The concept of optical interference is critical to understanding

More information

AVEFRONT ANALYSIS BASED ON ZERNIKE POLYNOMIALS

AVEFRONT ANALYSIS BASED ON ZERNIKE POLYNOMIALS AVEFRONT ANALYSIS BASED ON ZERNIKE POLYNOMIALS M.S. Kirilenko 1,2, P.A. Khorin 1, A.P. Porfirev 1,2 1 Samara National Research University, Samara, Russia 2 Image Processing Systems Institute - Branch of

More information

Crystal Structure and Electron Diffraction

Crystal Structure and Electron Diffraction Crystal Structure and Electron Diffraction References: Kittel C.: Introduction to Solid State Physics, 8 th ed. Wiley 005 University of Michigan, PHY441-44 (Advanced Physics Laboratory Experiments, Electron

More information

Figure measurement of a large optical flat with a Fizeau interferometer and stitching technique

Figure measurement of a large optical flat with a Fizeau interferometer and stitching technique Figure measurement of a large optical flat with a Fizeau interferometer and stitching technique Chunyu Zhao a, Robert A. Sprowl a, Michael Bray b, James H. Burge a a College of Optical Sciences, the University

More information

Refractive-index-mismatch induced aberrations in single-photon and two-photon microscopy and the use of aberration correction

Refractive-index-mismatch induced aberrations in single-photon and two-photon microscopy and the use of aberration correction Journal of Biomedical Optics 6(3), 266 272 (July 2) Refractive-index-mismatch induced aberrations in single-photon and two-photon microscopy and the use of aberration correction M. J. Booth T. Wilson University

More information

Extreme-ultraviolet phase-shifting point-diffraction interferometer: a wave-front metrology tool with subangstrom reference-wave accuracy

Extreme-ultraviolet phase-shifting point-diffraction interferometer: a wave-front metrology tool with subangstrom reference-wave accuracy Extreme-ultraviolet phase-shifting point-diffraction interferometer: a wave-front metrology tool with subangstrom reference-wave accuracy Patrick P. Naulleau, Kenneth A. Goldberg, Sang H. Lee, Chang Chang,

More information

Polarization Shearing Interferometer (PSI) Based Wavefront Sensor for Adaptive Optics Application. A.K.Saxena and J.P.Lancelot

Polarization Shearing Interferometer (PSI) Based Wavefront Sensor for Adaptive Optics Application. A.K.Saxena and J.P.Lancelot Polarization Shearing Interferometer (PSI) Based Wavefront Sensor for Adaptive Optics Application A.K.Saxena and J.P.Lancelot Adaptive Optics A Closed loop Optical system to compensate atmospheric turbulence

More information

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT Table of Contents Foreword... Jörge DE SOUSA NORONHA Introduction... Michel BRILLOUËT xi xvii Chapter 1. Photolithography... 1 Philippe BANDELIER, Anne-Laure CHARLEY and Alexandre LAGRANGE 1.1. Introduction...

More information

Sky demonstration of potential for ground layer adaptive optics correction

Sky demonstration of potential for ground layer adaptive optics correction Sky demonstration of potential for ground layer adaptive optics correction Christoph J. Baranec, Michael Lloyd-Hart, Johanan L. Codona, N. Mark Milton Center for Astronomical Adaptive Optics, Steward Observatory,

More information

14.1. Multiple Integration. Iterated Integrals and Area in the Plane. Iterated Integrals. Iterated Integrals. MAC2313 Calculus III - Chapter 14

14.1. Multiple Integration. Iterated Integrals and Area in the Plane. Iterated Integrals. Iterated Integrals. MAC2313 Calculus III - Chapter 14 14 Multiple Integration 14.1 Iterated Integrals and Area in the Plane Objectives Evaluate an iterated integral. Use an iterated integral to find the area of a plane region. Copyright Cengage Learning.

More information

Analysis of second-harmonic generation microscopy under refractive index mismatch

Analysis of second-harmonic generation microscopy under refractive index mismatch Vol 16 No 11, November 27 c 27 Chin. Phys. Soc. 19-1963/27/16(11/3285-5 Chinese Physics and IOP Publishing Ltd Analysis of second-harmonic generation microscopy under refractive index mismatch Wang Xiang-Hui(

More information

Supplementary Figure 1: Example non-overlapping, binary probe functions P1 (~q) and P2 (~q), that add to form a top hat function A(~q).

Supplementary Figure 1: Example non-overlapping, binary probe functions P1 (~q) and P2 (~q), that add to form a top hat function A(~q). Supplementary Figures P(q) A(q) + Function Value P(q) qmax = Supplementary Figure : Example non-overlapping, binary probe functions P (~q) and P (~q), that add to form a top hat function A(~q). qprobe

More information