Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Size: px
Start display at page:

Download "Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation"

Transcription

1 Microelectronic Engineering (2004) Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation E. Gogolides *, C. Boukouras, G. Kokkoris, O. Brani, A. Tserepi, V. Constantoudis Institute of Microelectronics, National Center for Scientific Research NCSR Demokritos, Aghia Paraskevi, Attiki 15310, Greece Available online 14 March 2004 Abstract Silicon etching and Si surface-roughness formation in high density SF 6 plasmas was studied. Etching rates and surface roughness were measured and correlated with ion flux and neutral F atom flux measured in situ. Etching rates are an increasing function of F atom flux, while surface roughness is not a monotonic function of F atom flux, or the etching rate. In fact, it is shown that one can achieve high etching rates and small surface roughness, a result of great practical importance to MEMS fabrication. Surface roughness increases with time, while scaling analysis of the AFM data shows that in most cases the Si surfaces develop periodic mound structures with a high roughness exponent (0.8) and a small correlation length (80 nm). Ó 2004 Elsevier B.V. All rights reserved. Keywords: Si etching; Surface roughness; Scaling analysis; Plasma etching induced roughness; Roughness simulation 1. Introduction For application of Si etching in fields such as microoptics, microelectronics, and microsensors, the quality of processed surfaces is often of crucial importance to the optimal operation of the fabricated device. For example in Si-based MEMS, it has been found that the fracture strength of the plasma-etched microfabricated structures is related to the etching process and the quality of the surface produced [1]. Therefore, studies of the dependence * Corresponding author. address: evgog@imel.demokritos.gr (E. Gogolides). of the surface roughness on processing conditions and of the mechanisms responsible for its formation are of great interest recently [2,3]. SF 6 plasmas are often used for Si etching and their mixtures or alternating fluxes with fluorocarbon gases add anisotropy as in the case of the Bosch process. However, to understand roughness formation one should first start with the simplest possible system. For this reason, in this work, the surface roughness of Si surfaces etched in pure SF 6 in a high-density plasma (ICP) reactor is studied as a function of the processing conditions. Simultaneously, etching rates under various plasma conditions are determined, the plasma gas phase is characterized and correlations to surface roughness are sought /$ - see front matter Ó 2004 Elsevier B.V. All rights reserved. doi: /j.mee

2 E. Gogolides et al. / Microelectronic Engineering (2004) Experimental Si surfaces are etched under various plasma conditions (plasma source power, gas pressure, etching time) in the MET Alcatel plasma etching system. Etching rates are measured with stylus profilometry on the steps created on etched pads. The plasma-induced surface roughness is measured by atomic force microscopy (AFM) using a Topometrix TMX 2000 instrument in the contact mode. The roughness scaling characteristics were determined by statistical analysis (height height correlation function) on the surface images of AFM using a home-made scaling analysis software. The details are described below in Section 3.2. In order to correlate the surface roughness with plasma parameters, the plasma gas phase is characterized by means of several methods: (a) Optical emission spectroscopy using an Acton Research SP-500 monochromator with an SBIG CCD detector ST-6i. (b) Optical emission actinometry using the nm F emission line, and the nm Ar emission line (Ar is the actinometer gas used at around 5% admixture in SF 6 ). In actinometry an inert gas such as Ar is admixed at a known concentration with the processing gas. This actinometer, as well as the species of interest (such as F atoms), emits in the plasma, and the ratio of emission intensities is usually (but not always) proportional to the ratio of atom densities. Thus, knowing the actinometer concentration gives the relative magnitude of F atom density. For calculating absolute F densities the ratio of excitation constants for Ar and F was taken equal to 2 according to [4,5]. Thus ½FŠ ¼2½ArŠI F =I Ar, where [ ] denotes atom density, and I denotes intensity. (c) Ion flux measurement using an ion flux probe by Scientific Instruments for determining ion fluxes (J þ ). 3. Results 3.1. Etching rate and plasma gas phase analysis Figs. 1(a) and (b) show the F atom density and the etching rate as a function of source power. The Fig. 1. (a) F atom density measured with actinometry versus source power of the ICP reactor, for various pressure levels. (b) Etching rate versus source power. Bias voltage is constant at )55 V. Electrode material is anodized aluminum. similarity of the two plots is evident, as well as the strong increase of the responses with power. It seems as if our Alcatel MET reactor has two regimes of operation, one characterized by low etching rates and one by high etching rates. Figs. 2(a) and (b) show the etching rate versus the F atom flux and the ion flux, respectively. A linear increase with F atom flux is observed. On the contrary, when plotted versus ion flux, the etch rate seems to lie on several parallel lines, one having a zero intercept, and the others having non-zero intercepts with the ion flux axis. Thus, the etching rate shows a clear, unique and linear correlation only with F atom flux. Such a behavior is consistent with the isotropic nature of the etching. We have recently proposed a

3 314 E. Gogolides et al. / Microelectronic Engineering (2004) Fig. 2. (a) Etching rate versus F atom flux, for various pressures. (b) Etching rate versus ion flux measured in situ. Notice the good correlation of etching rate and F atom flux. Bias voltage is constant at )55 V. Electrode material is anodized aluminum. model for Si and SiO 2 etching with an ion-enhanced etching term proportional to the ion flux and the surface coverage of F species, and a thermal or neutral etching term proportional to the F atom flux [6]. The neutral etching term is activated by ion bombardment but is not directly proportional to the ion flux. It seems that this second term can be dominant at high F fluxes Analysis of the surface roughness AFM was used to measure the surface roughness. Experiments at constant etching time (60 s) Fig. 3. Two examples of AFM images of etched Si surfaces with different morphologies quantified with the height height correlation functions shown in Fig. 4. The colors correspond to different heights shown in nanometers. Etching conditions are as follows: (a) ICP power ¼ 900 W, bias voltage ¼ )55 V, SF 6 pressure ¼ 5 Pa, etching time ¼ 60 s, Electrode material is anodized aluminum. (b) ICP power ¼ 1800 W, bias voltage ¼ )55 V, SF 6 pressure ¼ 9 Pa, etching time ¼ 60 s. Electrode material is aluminum. were conducted varying the plasma parameters. In addition experiments at constant plasma parameters were carried out varying the etching time from 10 to 120 s. High surface roughness prevented measurements at longer times with our AFM. Analysis of the AFM images followed. One of the most well-founded methods for surface roughness analysis is based on the examination of the correlations between the heights of surface points through the height height correlation function Gðx; yþ:

4 E. Gogolides et al. / Microelectronic Engineering (2004) Gðx; yþ ¼h½hðx i þ x; y j þ yþ hðx i ; y j ÞŠ 2 i 1=2 ; ð1þ Fig. 4. (a) and (b). The height height correlation functions GðrÞ of the surfaces of Fig. 3 (a) and (b), respectively, and the roughness parameters (r, a, n, k) extracted from their form. Note the oscillating behavior for r > n revealing the regular mound structure of the surfaces. where h i is the average over all surface points (x i ; y j ) [7]. Usually, one examines the one-dimensional version of Gðx; yþ, which can be either the circular average of Gðx; yþ or the average of the one-dimensional height height correlation functions GðrÞ calculated along the fast scanning direction of AFM. In Fig. 4, two examples of circularly averaged GðrÞ are shown, corresponding to the etched Si surfaces of Fig. 3. One can easily deduce that the form of GðrÞ is, in fact, determined by a small number of parameters: the RMS value r, describing the vertical development of roughness, the roughness exponent a, the correlation length n and the mean wavelength k of periodic mound surface structures, which capture spatial aspects of roughness. In particular, the roughness exponent a is related to the fractal dimension D as D ¼ 2 a, and when a < 1 ðd > 2Þ the surface can be characterized as self-affine (invariant under anisotropic scaling). Actually, the higher the value of a, the less important the high-frequency fluctuations are for surface roughness. Thus, the surface in Fig. 3(b), where low frequency roughness components are more evident, is characterized by a higher a value (a ¼ 0:9) than that of the surface in Fig. 3(a) (where a ¼ 0:8). For large r, the pffiffi GðrÞ can stabilize or oscillate regularly about the 2 r value. Regular oscillations reveal periodic mound structures on surface (mounded surface) and are usually associated with a near to 1. This is the case of both surfaces of Fig. 3, with the surface of Fig. 3(b) exhibiting a more profound regular structure with mean distance between mounds 500 nm. In Fig. 3(a), the regularity is less intense (slighter oscillation of GðrÞ) and the mean mound distance 350 nm. The transition from the power law behavior to the stabilization or oscillation is determined by the correlation length n, which in fact quantifies the density of the surface fluctuations. The denser surface of Fig. 3(a) has a lower value of n (65 nm) than the more gross-grained surface of Fig. 3(b) (n 100 nm). Mostly, in mound-like surfaces the correlation length n, and the mean mound distance behave in a similar way. In conclusion, the surface roughness is quantified by the roughness parameters r, a, n and k, which can be reliably calculated through the analysis of the height height correlation function GðrÞ of the surface. Analysis of the etched surfaces following the above methodology reveals in most cases moundlike surfaces (in agreement with [3]) with a roughness exponent larger than 0.8, a correlation length around 80 nm and a mean mound distance between 300 and 500 nm. No clear trend of the roughness exponent with etching time and plasma conditions has been observed. On the contrary, the surface roughness r (RMS value) was found to increase linearly with etching time (in agreement with [2,3,8]). The correlation length n and the mean mound distance k seem to increase slightly with etching time.

5 316 E. Gogolides et al. / Microelectronic Engineering (2004) Correlation of the surface roughness with the plasma parameters In Fig. 5(a), the variation of surface roughness r (RMS value) as a function of plasma F atom density is shown for 5 and 9 Pa. Roughness increases with F atom flux up to a maximum point beyond which it decreases. This is clearly seen for a 5 Pa pressure, while for 9 Pa roughness seems to increase and level off. A similar behavior is shown in Fig. 5(b), which shows the roughness versus the ion flux. Again surface roughness goes through a maximum versus ion flux at 5 Pa. We assume that at 9 Pa the roughness versus F atom density (or ion flux) curves have not reached the fall-off region yet and only seem to level off up to the F atom density and ion flux maximum values obtained at 9 Pa. One can justify our assumption by comparing in Figs. 1(a) and (b) the F atom density and the etching rate versus power at various pressures: at 5 Pa, both quantities have first a fast and then a slow increase with power; while at 9 Pa only a fast increase is observed, which may at higher powers (not supported by our generator) slow down. We believe that at that point roughness would decrease at 9 Pa as well. An explanation of the above phenomena will be attempted in the following section. While roughness seems to have a bell-like shape versus F atom density or ion flux, etching rate increases monotonically with F atom density or ion flux as shown in Figs. 2(a) and (b). Therefore, conditions exist under which etching occurs with high rates leading to a relatively low surface roughness. Petri et al. [8] have proposed a mathematical expression showing that the surface roughness scales with the inverse of the square root of the neutral F atom to ion flux, i.e., surface roughness decreased with increasing F flux for constant ion flux. In our experiments, we found no monotonic dependence on this ratio, but in most case the opposite behavior, namely that surface roughness increases rather than decreases with the neutral to ion flux. Their experiments are at a very low pressure (below 1 mtorr) while our experiments are above 10 mtorr. Only our lowest pressure data approximate their behavior. Fig. 5. (a) RMS (root mean square) roughness as a function of fluorine atom flux in a 5 Pa (diamonds) and 9 Pa (squares) SF 6 ICP plasma. (b) RMS roughness versus ion flux for 5 and 9 Pa. Notice the non-monotonic behavior of roughness, and that it is reduced at high fluxes where etching rates are maximized. Etching time was 60 s. Electrode material is anodized aluminum. Note that at 9 Pa RMS roughness is less compared to Fig. 4(b), since anodized aluminum has smaller sputtering yield compared to aluminum, and hence causes less micro-masking (a source of roughness). 4. Discussion There is a lot of speculation in the literature about the intricate behavior of surface roughness formation in Silicon. Drotar et al. [3] proposed that the etching species do not stick on the surface on their first approach, but are reemitted and stick only on the second and higher reemission. As a result etching species stick much less on the hills of

6 E. Gogolides et al. / Microelectronic Engineering (2004) the surface than on the valleys, the latter receiving the re-emitted flux from the hills, and consequently being etched faster than the hills leading to surface roughness growth with time. This mechanism is unnatural in our opinion for neutrals, but might be true for ions: Indeed ions hitting a sidewall surface at grazing angles can get reflected and end at the bottom of a trench. Thus, the bottoms would etch faster. This is a possible explanation for an ionenhanced dominated etching. Another possible explanation is the sputtering of electrode or ICP-dome-wall material or the deposition of sulfur-bearing polymers on the surface of silicon. A first evidence for that is supplied by the difference between the RMS roughness shown in Figs. 4(b) and 5 at 9 Pa. In Fig. 4(b), the RMS roughness is higher because micro-masking is induced from sputtering of aluminum (the electrode material), which has a higher sputtering yield compared to anodized aluminum used as an electrode in Fig. 5. This local micro-masking would also increase the surface roughness with time. In a first attempt to simulate the above phenomena, we assumed a masking material covering the Si surface at a fraction varying from f ¼ 0:20 to f ¼ 0:90. For a specific masking fraction ff g the etch rate was set to zero randomly for a fraction ff g of the surface sites at each time step. The surface topography evolution was followed in time using a level-set algorithm [9]. The calculated surface topography (texture) and the micro-masking-induced surface roughness as a function of ff g are shown in Figs. 6(a) and (b). The simulations show that roughness is increasing linearly with time (up to a point) as our experiments also verify. They also indicate a belllike shape of the roughness variation with mask coverage surface fraction (Fig. 6(b)). This behavior, compared to that of r in Fig. 5, indicates that indeed surface roughening may be attributed to micro-masking. Notice that in Fig. 6(b) ff g decreases from left to right. We plotted the simulation results in this way so as to reflect the fact that increasing F atom densities and/or ion fluxes lead to reduction of the micro-masking surface fraction coverage ff g. Fig. 6(b) can also explain the observed difference in r behavior between 5 and 9 Pa: the higher pressure favors higher surface coverage, therefore r would Fig. 6. (a) Evolution of surface topography (height) with time for isotropic etching assuming that micro-masking randomly blocks etching for 50% of the surface sites. Different sites are randomly blocked at each time step, as the profile evolution algorithm follows the topography evolution [9]. Notice the development of roughness due to micro-masking and its increase with time. (b) RMS surface roughness (in arbitrary units) versus the fraction of the surface that is micro-masked. Notice the similarity with Fig. 5, in that surface roughness goes through a maximum, suggesting micro-masking as a possible source of roughness. peak at higher F atom or ion densities where mask surface coverage values decrease. 5. Conclusions Si etching in an SF 6 ICP has been studied for surface roughness formation. The plasma gas phase was analyzed and correlated with etch rate

7 318 E. Gogolides et al. / Microelectronic Engineering (2004) and surface roughness. It has been shown that while etch rate can be increased by increasing source power and hence F atom and ion fluxes on the wafer, the surface roughness goes through a maximum and is decreased at high etching rates. Surface roughness does not correlate well with neutral or ion flux or their ratio, and first simulations indicate that surface micro-masking may account for induction of surface roughness. References [1] K.S. Chen, A. Ayon, S.M. Spearing, J. Am. Ceram. Soc. 83 (6) (2000) [2] P. Brault, P. Dumas, F. Salvan, J. Phys.: Condens. Matter 10 (1998) L27 L38. [3] J. Drotar, Y.-P. Zhao, T.-M. Lu, G.-C. Wang, Phys. Rev. B 64 (4) (2000) [4] Y. Kawai, K. Sasaki, K. Kadota, Jpn. J. Appl. Phys. 36 (Pt 2 9A/B) (1997) L1261 L1264. [5] M.J. Schabel, V.M. Donnelly, A. Kornblit, W.W. Tai, J. Vac. Sci. Technol. A 20 (2) (2002) [6] E. Gogolides, P. Vauvert, G. Kokkoris, G. Turban, A. Boudouvis, J. Appl. Phys. 88 (10) (2000) [7] B.Y. Zhao, G.-C. Wang, T.-M. Lu, in: Experimental Methods in the Physical Sciences, vol. 37, Academic Press, [8] R. Petri, P. Brault, O. Vatel, D. Henry, E. Andre, P. Dumas, F. Salvan, J. Appl. Phys. 75 (1994) [9] G. Kokkoris, A. Tserepi, A.G. Boudouvis, E. Gogolides, J. Vac. Sci. Technol. A 22 (4) (2004), in press.

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching Journal of Physics: Conference Series OPEN ACCESS Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching To cite this article: P Moroz and D J Moroz 2014 J. Phys.: Conf. Ser. 550 012030

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, and E. Gogolides a) Institute of Microelectronics,

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Linking the Operating Parameters of Chemical Vapor Deposition Reactors with Film Conformality and Surface Nano-Morphology

Linking the Operating Parameters of Chemical Vapor Deposition Reactors with Film Conformality and Surface Nano-Morphology Copyright 2011 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Nanoscience and Nanotechnology Vol. 11, 8132 8137, 2011 Linking the Operating Parameters

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Profile simulation of gas chopping based etching processes

Profile simulation of gas chopping based etching processes Profile simulation of gas chopping based etching processes B.E. Volland, Tz. Ivanov and I.W.Rangelow Institute of Technological Physics, University of Kassel, Heinrich-Plett-Straße 40, 34132 Kassel, Germany

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Quasi-periodic nanostructures grown by oblique angle deposition

Quasi-periodic nanostructures grown by oblique angle deposition JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 12 15 DECEMBER 2003 Quasi-periodic nanostructures grown by oblique angle deposition T. Karabacak, a) G.-C. Wang, and T.-M. Lu Department of Physics, Applied

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Etching behavior of Si-containing polymers as resist materials for bilayer lithography: The case of poly-dimethyl siloxane

Etching behavior of Si-containing polymers as resist materials for bilayer lithography: The case of poly-dimethyl siloxane Etching behavior of Si-containing polymers as resist materials for bilayer lithography: The case of poly-dimethyl siloxane A. Tserepi, a) G. Cordoyiannis, G. P. Patsis, V. Constantoudis, and E. Gogolides

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Roughness characterization in positive and negative resists

Roughness characterization in positive and negative resists Microelectronic Engineering 61 6 (00) 793 801 www.elsevier.com/ locate/ mee Roughness characterization in positive and negative resists * Constantinos D. Diakoumakos, Evangelos S. Valamontes a,b a, a Vassilios

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.5277/oa13114 Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra WALDEMAR OLESZKIEWICZ 1*,

More information

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Table of Content Mechanical Removing Techniques Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Ultrasonic Machining In ultrasonic machining (USM), also called ultrasonic grinding,

More information

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy Investigation of InP etching mechanisms in a 2 / 2 inductively coupled plasma by optical emission spectroscopy L. Gatilova, a S. Bouchoule, b and S. Guilet Laboratoire de Photonique et de Nanostructures

More information

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b)

More information

Growth-front roughening in amorphous silicon films by sputtering

Growth-front roughening in amorphous silicon films by sputtering PHYSICAL REVIEW B, VOLUME 64, 085323 Growth-front roughening in amorphous silicon films by sputtering T. Karabacak,* Y.-P. Zhao, G.-C. Wang, and T.-M. Lu Department of Physics, Applied Physics and Astronomy,

More information

Scaling during shadowing growth of isolated nanocolumns

Scaling during shadowing growth of isolated nanocolumns Scaling during shadowing growth of isolated nanocolumns T. Karabacak, J. P. Singh, Y.-P. Zhao, G.-C. Wang, and T.-M. Lu Department of Physics, Applied Physics and Astronomy, Rensselaer Polytechnic Institute,

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Monolayer Semiconductors

Monolayer Semiconductors Monolayer Semiconductors Gilbert Arias California State University San Bernardino University of Washington INT REU, 2013 Advisor: Xiaodong Xu (Dated: August 24, 2013) Abstract Silicon may be unable to

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Surface roughening in shadowing growth and etching in 2 1 dimensions

Surface roughening in shadowing growth and etching in 2 1 dimensions PHYSICAL REVIEW B VOLUME 62, NUMBER 3 15 JULY 2000-I Surface roughening in shadowing and etching in 2 1 dimensions Jason T. Drotar, Y.-P. Zhao, T.-M. Lu, and G.-C. Wang Department of Physics, Applied Physics,

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

Accurate detection of interface between SiO 2 film and Si substrate

Accurate detection of interface between SiO 2 film and Si substrate Applied Surface Science 253 (2007) 5511 5515 www.elsevier.com/locate/apsusc Accurate detection of interface between SiO 2 film and Si substrate H.X. Qian a, W. Zhou a, *, X.M. Li b, J.M. Miao a, L.E.N.

More information

Authors: D.S.Roveri 1, H.H.Bertan 1, M.A.R.Alves 1, J.F.Mologni 2, E.S.Braga 1

Authors: D.S.Roveri 1, H.H.Bertan 1, M.A.R.Alves 1, J.F.Mologni 2, E.S.Braga 1 Use of Ansoft Maxwell software platform for investigation of electrostatic properties of a hemisphere on a post geometry aimed to model field emission devices Authors: D.S.Roveri 1, H.H.Bertan 1, M.A.R.Alves

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Determination of Electron Temperatures in Rare- Gases Plasma

Determination of Electron Temperatures in Rare- Gases Plasma Iraqi J. of Appl. Phys., Vol. 4, No.,, -9 Faez M. Ibraheem Department of Physics, College of Science, University of Al-Fateh, Tripoli, Libya faez_ibraheem@hotmail.com Determination of Electron mperatures

More information

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM) Basic Laboratory Materials Science and Engineering Atomic Force Microscopy (AFM) M108 Stand: 20.10.2015 Aim: Presentation of an application of the AFM for studying surface morphology. Inhalt 1.Introduction...

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas

Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas Optical emission spectroscopic studies and comparisons of CH 3 F/CO 2 and CH 3 F/O 2 inductively coupled plasmas Qiaowei Lou, Sanbir Kaler, Vincent M. Donnelly, a) and Demetre J. Economou b) Plasma Processing

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma

Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma Plasma Science and Technology, Vol.15, No.10, Oct. 2013 Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma XU Yijun ( ) 1,2, WU

More information

Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities

Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 5 1 SEPTEMBER 2000 Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities M. V. Malyshev, a) N. C. M. Fuller, b) K. H. A.

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING Maryam Alsadat Rad* and Kamarulazizi Ibrahim Nano Optoelectronics Research & Technology Lab,

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel Mol. Cryst. Liq. Cryst., Vol. 531: pp. 73=[373] 81=[381], 2010 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421406.2010.499331 Improvement of MgO Characteristics

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

"Enhanced Layer Coverage of Thin Films by Oblique Angle Deposition"

Enhanced Layer Coverage of Thin Films by Oblique Angle Deposition Mater. Res. Soc. Symp. Proc. Vol. 859E 2005 Materials Research Society JJ9.5.1 "Enhanced Layer Coverage of Thin Films by Oblique Angle Deposition" * karabt@rpi.edu Tansel Karabacak *, Gwo-Ching Wang, and

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies. Güntherodt

Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies. Güntherodt Focused-ion-beam milling based nanostencil mask fabrication for spin transfer torque studies B. Özyilmaz a, G. Richter, N. Müsgens, M. Fraune, M. Hawraneck, B. Beschoten b, and G. Güntherodt Physikalisches

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

Adjustment of electron temperature in ECR microwave plasma

Adjustment of electron temperature in ECR microwave plasma Vacuum (3) 53 Adjustment of electron temperature in ECR microwave plasma Ru-Juan Zhan a, Xiaohui Wen a,b, *, Xiaodong Zhu a,b, Aidi zhao a,b a Structure Research Laboratory, University of Science and Technology

More information

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Korean J. Chem. Eng., 21(6), 1235-1239 (2004) Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges Hyung Jo Park*, Hyun-Wook Ra, Kwang Sup Song** and Yoon-Bong

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Effect of surface roughness of the neutralization grid on the energy and flux of fast neutrals and residual ions extracted from a neutral beam source

Effect of surface roughness of the neutralization grid on the energy and flux of fast neutrals and residual ions extracted from a neutral beam source Effect of surface roughness of the neutralization grid on the energy and flux of fast neutrals and residual ions extracted from a neutral beam source Alok Ranjan Plasma Processing Laboratory, Department

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching Hayden Taylor Microsystems Technology Laboratories Massachusetts Institute of Technology 12 May 2006 Coping with spatial

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives

Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives Evangelos Gogolides and Panagiotis Argitis Institute of Microelectronics, NCSR Demokritos, Aghia Paraskevi 15310,

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Indian Journal of Pure & Applied Physics Vol. 48, October 2010, pp. 723-730 Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Bahaa T Chiad a, Thair L Al-zubaydi

More information

DQN Positive Photoresist

DQN Positive Photoresist UNIVESITY OF CALIFONIA, BEKELEY BEKELEY DAVIS IVINE LOS ANGELES IVESIDE SAN DIEGO SAN FANCISCO SANTA BABAA SANTA CUZ DEPATMENT OF BIOENGINEEING 94720-1762 BioE 121 Midterm #1 Solutions BEKELEY, CALIFONIA

More information

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch Jpn. J. Appl. Phys. Vol. 42 (23) pp. 5759 5764 Part 1, No. 9A, September 23 #23 The Japan Society of Applied Physics Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber Journal of the Korean Physical Society, Vol. 51, No. 3, September 2007, pp. 978 983 Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC Xuefeng Hua, a) Department of Physics, University of Maryland, College Park, Maryland

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy

Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy Microelectronic Engineering 73 74 (2004) 524 528 www.elsevier.com/locate/mee Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy A. Sandhu a, *, A. Okamoto b, I. Shibasaki

More information

Structure-Thermal Property Correlation of Aligned Silicon. Dioxide Nanorod Arrays

Structure-Thermal Property Correlation of Aligned Silicon. Dioxide Nanorod Arrays Supplementary Material for Structure-Thermal Property Correlation of Aligned Silicon Dioxide Nanorod Arrays S. Dynamic shadowing growth (DSG) technique Figure S depicts a schematic of the DSG setup. For

More information

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy The very basic theory of XPS XPS theroy Surface Analysis Ultra High Vacuum (UHV) XPS Theory XPS = X-ray Photo-electron Spectroscopy X-ray

More information

Atomic Fluorine Beam Etching Of Silicon And Related Materials

Atomic Fluorine Beam Etching Of Silicon And Related Materials Accepted by the Journal of Vacuum Science and Technology B. Assigned JVST Manuscript #11599. Atomic Fluorine Beam Etching Of Silicon And Related Materials P.R. Larson, K.A. Copeland, G. Dharmasena, R.A.

More information

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma Vol. 117 (2010) ACTA PHYSICA POLONICA A No. 3 Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma R. Knizikevičius Department of Physics, Kaunas University of Technology, 73 K. Donelaičio St., LT-44029

More information

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Xi Li, a) Li Ling, Xuefeng Hua, Masanaga Fukasawa, b) and Gottlieb S. Oehrlein c) Department of Materials Science and Engineering

More information

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions N. Fox-Lyon, G.S. Oehrlein Department of Material Science and Engineering, Department of Physics, and Institute for Research

More information

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X Plasma etch control by means of physical plasma parameter measurement with HERCULES A. Steinbach F. Bell D. Knobloch S. Wurm Ch. Koelbl D. Köhler -1- Contents - Introduction - Motivation - Plasma monitoring

More information

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS S.K. Lazarouk, D.A. Sasinovich BELARUSIAN STATE UNIVERSITY OF INFORMATICS AND RADIOELECTRONICS Outline: -- experimental

More information

Direct-Write Deposition Utilizing a Focused Electron Beam

Direct-Write Deposition Utilizing a Focused Electron Beam Direct-Write Deposition Utilizing a Focused Electron Beam M. Fischer, J. Gottsbachner, S. Müller, W. Brezna, and H.D. Wanzenboeck Institute of Solid State Electronics, Vienna University of Technology,

More information