Sequential Circuit Timing. Young Won Lim 11/6/15

Size: px
Start display at page:

Download "Sequential Circuit Timing. Young Won Lim 11/6/15"

Transcription

1

2 Copyright (c) Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published by the Free Software Foundation; with no Invariant Sections, no Front-Cover Texts, and no Back-Cover Texts. A copy of the license is included in the section entitled "GNU Free Documentation License". Please send corrections (or suggestions) to youngwlim@hotmail.com. This document was produced by using OpenOffice and Octave.

3 Latches and FF's 3

4 Register D 3 D Q Q 3 D 2 D Q Q 2 D 1 D Q Q 1 D 0 D Q Q 0 CLK CLK without delay without delay

5 Types of Diagrams a timing diagram without delays no delay (ideal case) no delay (ideal case) a timing diagram with delays input delay output delay Clk->Q 5

6 DFF Testbench module dff(d, clk, rst, q, qb); input d, clk, rst; output q, qb; reg q; clk) begin if (~rst) q = 0; else q = d; end assign qb = ~q; endmodule Nonblocking Assignments Blocking Assignments <= = initial begin clk =0; d =0; rst =1; rst =0; #20 rst = 1; #10 d <= 0; #10 d <= 0; $finish; end #10 d = 1; #10 d = 0; #10 d = 1; #10 d = 0; #10 d = 1; #10 d = 1; `timescale 1ns/100ps module dff_tb; reg d, clk, rst; dff U1 (d, clk, rst, q, qb); always #10 clk = ~clk; initial begin $dumpfile("test.vcd"); $dumpvars(0, dff_tb); end endmodule 6

7 Testbench with Nonblocking Assignments module dff(d, clk, rst, q, qb); input d, clk, rst; output q, qb; reg q; clk) begin if (~rst) q = 0; else q = d; end assign qb = ~q; endmodule initial begin clk =0; d =0; rst =1; rst =0; #20 rst = 1; #10 d <= 0; #10 d <= 0; $finish; end `timescale 1ns/100ps module dff_tb; reg d, clk, rst; dff U1 (d, clk, rst, q, qb); always #10 clk = ~clk; initial begin $dumpfile("test.vcd"); $dumpvars(0, dff_tb); end endmodule 7

8 DFF Testbench Waveforms Nonblocking Assignments #10 d <= 0; #10 d <= 0; samples the unchanged d input values at the posedge of clk Blocking Assignments #10 d = 1; #10 d = 0; #10 d = 1; #10 d = 0; #10 d = 1; #10 d = 1; samples the changed d input values at the posedge of clk 8

9 Blocking Assignments #10 d = 1; #10 d = 0; #10 d = 1; #10 d = 0; #10 d = 1; #10 d = 1; clk) begin if (~rst) q = 0; else q = d; end clk:0 1 d:0 1 d:1 clk) At the posedge of clk clk has changed to 1 Also, d has changed to its new value 9

10 Nonblocking Assignments Nonblocking Assignments #10 d <= 0; #10 d <= 0; In each of time slot which is delayed by 10 time units, there is only one assignment that can be scheduled simultaneously, (1) Allowing scheduling of assignments without waiting for its completion (2) Executed last in the time step in which it is scheduled (after all blocking assignments' execution) Regular Events samples the unchanged d input values at the posedge of clk Nonblocking Update Events Monitor Events Evaluate So, the input d update is done Update after the posedge clk 10

11 FF Input and Output Delays No delay No delay t d1 t d2 Inputs with delays t d3 Output with a delay 11

12 Reg to Reg 12

13 Clock Skew Clock skew Clock Source 13

14 Path Delay X X delay due to combinational blocks Y Y 1

15 Setup & Hold Time (1) Inputs with delays Output with a delay set up time hold time 15

16 Setup & Hold Time (2) 16

17 Clock Gating CLK EN possible clock skew problem EN 17

18 Max Path / Min Path Max path contamination delay propagation delay min path t c d the output is changing t c d t c d t delay 18

19 Rise / Fall Times t r Rise Time t pd f Fall Time Rise Time t pd r Fall Time t f v in v out v in t f t r = 2.2 τ n 2.2 τ p β n β p > 1 τ n τ p = R nc out R p C out R n R p < 1 = R n R p < 1 β n = 1.0 β p β n = 1.5 β p β n = 2.0 β p v out t pdf t pdr 19

20 PVT Variation Process Voltage Temperature High temperature Low temperature 20

21 FF Output Delay t c cq contamination delay propagation delay t p cq t c cq t p cq flipflop clock-to-q t c cq t delay t p cq 21

22 Path Delay t c d contamination delay propagation delay t c d combinational logic delay t c d t delay 22

23 Reg-to-Reg Delay (1) t c cq t FF t p cq t c cq t p cq t c d t c d t comb t c cq t p cq t c cq + t cd t delay t p cq + t pd t c d 23

24 Reg-to-Reg Delay (2) t c cq t FF t p cq t c d t comb t c cq t c d t c cq + t cd t delay t p cq + t pd t p cq 2

25 Setup Time / Hold Time Setup Time OK Setup Time Violation t p cq t p cq Hold Time OK Hold Time Violation t c cq t c d t c cq + t c d 25

26 Setup Time / Hold Time Setup Time Violation This part has been stabilized t p cq This is the intended operation Hold Time Violation Since the delay is too small signal passes through the 2nd FF t c cq + t c d 26

27 Resolution Time 27

28 References [1] [2] M. M. Mano, C. R. Kime, Logic and Computer Design Fundamentals, th ed. [3] J. Stephenson, Understanding Metastability in FPGAs. Altera Corporation white paper. July 2009.

FSM Examples. Young Won Lim 11/6/15

FSM Examples. Young Won Lim 11/6/15 /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version published

More information

Finite State Machine (1A) Young Won Lim 6/9/18

Finite State Machine (1A) Young Won Lim 6/9/18 Finite State Machine (A) 6/9/8 Copyright (c) 23-28 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free ocumentation License, Version.2 or

More information

Higher Order ODE's (3A) Young Won Lim 7/7/14

Higher Order ODE's (3A) Young Won Lim 7/7/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Higher Order ODE's (3A) Young Won Lim 12/27/15

Higher Order ODE's (3A) Young Won Lim 12/27/15 Higher Order ODE's (3A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Expected Value (10D) Young Won Lim 6/12/17

Expected Value (10D) Young Won Lim 6/12/17 Expected Value (10D) Copyright (c) 2017 Young W. Lim. Permissios granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Relations (3A) Young Won Lim 3/27/18

Relations (3A) Young Won Lim 3/27/18 Relations (3A) Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Matrix Transformation (2A) Young Won Lim 11/10/12

Matrix Transformation (2A) Young Won Lim 11/10/12 Matrix (A Copyright (c 0 Young W. im. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation icense, Version. or any later version published

More information

General CORDIC Description (1A)

General CORDIC Description (1A) General CORDIC Description (1A) Copyright (c) 2010, 2011, 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09 Detect Sensor (6B) Eddy Current Sensor Copyright (c) 2009 Young W. Lim. Permission is granteo copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Root Locus (2A) Young Won Lim 10/15/14

Root Locus (2A) Young Won Lim 10/15/14 Root Locus (2A Copyright (c 2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

CT Rectangular Function Pairs (5B)

CT Rectangular Function Pairs (5B) C Rectangular Function Pairs (5B) Continuous ime Rect Function Pairs Copyright (c) 009-013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU

More information

Background Trigonmetry (2A) Young Won Lim 5/5/15

Background Trigonmetry (2A) Young Won Lim 5/5/15 Background Trigonmetry (A) Copyright (c) 014 015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10 DFT Frequency (9A) Each ow of the DFT Matrix Copyright (c) 2009, 2010 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GU Free Documentation License,

More information

Higher Order ODE's (3A) Young Won Lim 7/8/14

Higher Order ODE's (3A) Young Won Lim 7/8/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

General Vector Space (2A) Young Won Lim 11/4/12

General Vector Space (2A) Young Won Lim 11/4/12 General (2A Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 10/22/12

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 10/22/12 Line Integrals (4A Line Integral Path Independence Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Matrix Transformation (2A) Young Won Lim 11/9/12

Matrix Transformation (2A) Young Won Lim 11/9/12 Matrix (A Copyright (c 01 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

Capacitor Young Won Lim 06/22/2017

Capacitor Young Won Lim 06/22/2017 Capacitor Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Introduction to ODE's (0A) Young Won Lim 3/9/15

Introduction to ODE's (0A) Young Won Lim 3/9/15 Introduction to ODE's (0A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Signal Functions (0B)

Signal Functions (0B) Signal Functions (0B) Signal Functions Copyright (c) 2009-203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Group Delay and Phase Delay (1A) Young Won Lim 7/19/12

Group Delay and Phase Delay (1A) Young Won Lim 7/19/12 Group Delay and Phase Delay (A) 7/9/2 Copyright (c) 2 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Bayes Theorem (10B) Young Won Lim 6/3/17

Bayes Theorem (10B) Young Won Lim 6/3/17 Bayes Theorem (10B) Copyright (c) 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Separable Equations (1A) Young Won Lim 3/24/15

Separable Equations (1A) Young Won Lim 3/24/15 Separable Equations (1A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Complex Trigonometric and Hyperbolic Functions (7A)

Complex Trigonometric and Hyperbolic Functions (7A) Complex Trigonometric and Hyperbolic Functions (7A) 07/08/015 Copyright (c) 011-015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Discrete Time Rect Function(4B)

Discrete Time Rect Function(4B) Discrete Time Rect Function(4B) Discrete Time Rect Functions Copyright (c) 29-213 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

Propagating Wave (1B)

Propagating Wave (1B) Wave (1B) 3-D Wave Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Down-Sampling (4B) Young Won Lim 10/25/12

Down-Sampling (4B) Young Won Lim 10/25/12 Down-Sampling (4B) /5/ Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later

More information

Complex Series (3A) Young Won Lim 8/17/13

Complex Series (3A) Young Won Lim 8/17/13 Complex Series (3A) 8/7/3 Copyright (c) 202, 203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or

More information

Audio Signal Generation. Young Won Lim 1/29/18

Audio Signal Generation. Young Won Lim 1/29/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Discrete Time Rect Function(4B)

Discrete Time Rect Function(4B) Discrete Time Rect Function(4B) Discrete Time Rect Functions Copyright (c) 29-23 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Dispersion (3A) 1-D Dispersion. Young W. Lim 10/15/13

Dispersion (3A) 1-D Dispersion. Young W. Lim 10/15/13 1-D Dispersion Copyright (c) 013. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

Capacitor and Inductor

Capacitor and Inductor Capacitor and Inductor Copyright (c) 2015 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Capacitor and Inductor

Capacitor and Inductor Capacitor and Inductor Copyright (c) 2015 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Fourier Analysis Overview (0B)

Fourier Analysis Overview (0B) CTFS: Continuous Time Fourier Series CTFT: Continuous Time Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2009-2016 Young W. Lim. Permission

More information

Down-Sampling (4B) Young Won Lim 11/15/12

Down-Sampling (4B) Young Won Lim 11/15/12 Down-Sampling (B) /5/ Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later

More information

Group & Phase Velocities (2A)

Group & Phase Velocities (2A) (2A) 1-D Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Up-Sampling (5B) Young Won Lim 11/15/12

Up-Sampling (5B) Young Won Lim 11/15/12 Up-Sampling (5B) Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later version

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

The Growth of Functions (2A) Young Won Lim 4/6/18

The Growth of Functions (2A) Young Won Lim 4/6/18 Copyright (c) 2015-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect. Young Won Lim 9/23/09

Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect. Young Won Lim 9/23/09 Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect Copyright (c) 2009 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Higher Order ODE's, (3A)

Higher Order ODE's, (3A) Higher Order ODE's, (3A) Initial Value Problems, and Boundary Value Problems Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms

More information

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 11/2/12

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 11/2/12 Line Integrals (4A Line Integral Path Independence Copyright (c 2012 Young W. Lim. Permission is granted to copy, distriute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Signals and Spectra (1A) Young Won Lim 11/26/12

Signals and Spectra (1A) Young Won Lim 11/26/12 Signals and Spectra (A) Copyright (c) 202 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later

More information

Bayes Theorem (4A) Young Won Lim 3/5/18

Bayes Theorem (4A) Young Won Lim 3/5/18 Bayes Theorem (4A) Copyright (c) 2017-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Implication (6A) Young Won Lim 3/17/18

Implication (6A) Young Won Lim 3/17/18 Implication (6A) 3/17/18 Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Linear Equations with Constant Coefficients (2A) Young Won Lim 4/13/15

Linear Equations with Constant Coefficients (2A) Young Won Lim 4/13/15 Linear Equations with Constant Coefficients (2A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

ODE Background: Differential (1A) Young Won Lim 12/29/15

ODE Background: Differential (1A) Young Won Lim 12/29/15 ODE Background: Differential (1A Copyright (c 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Propositional Logic Resolution (6A) Young W. Lim 12/12/16

Propositional Logic Resolution (6A) Young W. Lim 12/12/16 Propositional Logic Resolution (6A) Young W. Lim Copyright (c) 2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Definitions of the Laplace Transform (1A) Young Won Lim 1/31/15

Definitions of the Laplace Transform (1A) Young Won Lim 1/31/15 Definitions of the Laplace Transform (A) Copyright (c) 24 Young W. Lim. Permission is granted to copy, distriute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Sequential Logic Worksheet

Sequential Logic Worksheet Sequential Logic Worksheet Concept Inventory: Notes: D-latch & the Dynamic Discipline D-register Timing constraints for sequential circuits Set-up and hold times for sequential circuits 6.004 Worksheet

More information

ECE/Comp Sci 352 Digital Systems Fundamentals. Charles R. Kime Section 2 Fall Logic and Computer Design Fundamentals

ECE/Comp Sci 352 Digital Systems Fundamentals. Charles R. Kime Section 2 Fall Logic and Computer Design Fundamentals University of Wisconsin - Madison ECE/Comp Sci 352 Digital Systems Fundamentals Charles R. Kime Section 2 Fall 2001 Lecture 5 Registers & Counters Part 2 Charles Kime Counters Counters are sequential circuits

More information

CLTI System Response (4A) Young Won Lim 4/11/15

CLTI System Response (4A) Young Won Lim 4/11/15 CLTI System Response (4A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Complex Functions (1A) Young Won Lim 2/22/14

Complex Functions (1A) Young Won Lim 2/22/14 Complex Functions (1A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Propositional Logic Resolution (6A) Young W. Lim 12/31/16

Propositional Logic Resolution (6A) Young W. Lim 12/31/16 Propositional Logic Resolution (6A) Young W. Lim Copyright (c) 2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Differentiation Rules (2A) Young Won Lim 1/30/16

Differentiation Rules (2A) Young Won Lim 1/30/16 Differentiation Rules (2A) Copyright (c) 2011-2016 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Audio Signal Generation. Young Won Lim 2/2/18

Audio Signal Generation. Young Won Lim 2/2/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Phasor Young Won Lim 05/19/2015

Phasor Young Won Lim 05/19/2015 Phasor Copyright (c) 2009-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

CT Correlation (2A) Young Won Lim 9/9/14

CT Correlation (2A) Young Won Lim 9/9/14 CT Correlation (2A) Copyright (c) 200-204 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Hamiltonian Cycle (3A) Young Won Lim 5/5/18

Hamiltonian Cycle (3A) Young Won Lim 5/5/18 Hamiltonian Cycle (3A) Copyright (c) 015 018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any

More information

General Vector Space (3A) Young Won Lim 11/19/12

General Vector Space (3A) Young Won Lim 11/19/12 General (3A) /9/2 Copyright (c) 22 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version

More information

Resolution (7A) Young Won Lim 4/21/18

Resolution (7A) Young Won Lim 4/21/18 (7A) Coyright (c) 215 218 Young W. Lim. Permission is granted to coy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version ublished

More information

Background LTI Systems (4A) Young Won Lim 4/20/15

Background LTI Systems (4A) Young Won Lim 4/20/15 Background LTI Systems (4A) Copyright (c) 2014-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Audio Signal Generation. Young Won Lim 2/2/18

Audio Signal Generation. Young Won Lim 2/2/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

CSE 140 Midterm 2 - Solutions Prof. Tajana Simunic Rosing Spring 2013

CSE 140 Midterm 2 - Solutions Prof. Tajana Simunic Rosing Spring 2013 CSE 140 Midterm 2 - Solutions Prof. Tajana Simunic Rosing Spring 2013 Do not start the exam until you are told. Write your name and PID at the top of every page. Do not separate the pages. Turn off and

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007 EECS 150 - Components and Design Techniques for Digital Systems FSMs 9/11/2007 Sarah Bird Electrical Engineering and Computer Sciences University of California, Berkeley Slides borrowed from David Culler

More information

Propositional Logic Logical Implication (4A) Young W. Lim 4/11/17

Propositional Logic Logical Implication (4A) Young W. Lim 4/11/17 Propositional Logic Logical Implication (4A) Young W. Lim Copyright (c) 2016-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Group Velocity and Phase Velocity (1A) Young Won Lim 5/26/12

Group Velocity and Phase Velocity (1A) Young Won Lim 5/26/12 Group Velocity and Phase Velocity (1A) Copyright (c) 211 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements:., Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University

More information

Implication (6A) Young Won Lim 3/12/18

Implication (6A) Young Won Lim 3/12/18 (6A) Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

CMOS Inverter. Young Won Lim 3/31/16

CMOS Inverter. Young Won Lim 3/31/16 CMO Inverter Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the NU Free ocumentation License, Version 1.2 or any later version

More information

Models for representing sequential circuits

Models for representing sequential circuits Sequential Circuits Models for representing sequential circuits Finite-state machines (Moore and Mealy) Representation of memory (states) Changes in state (transitions) Design procedure State diagrams

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Introduction to ODE's (0P) Young Won Lim 12/27/14

Introduction to ODE's (0P) Young Won Lim 12/27/14 Introuction to ODE's (0P) Copyright (c) 2011-2014 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Surface Integrals (6A)

Surface Integrals (6A) Surface Integrals (6A) Surface Integral Stokes' Theorem Copright (c) 2012 Young W. Lim. Permission is granted to cop, distribute and/or modif this document under the terms of the GNU Free Documentation

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs Appendix B Review of Digital Logic Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu Elect. & Comp. Eng. 2 DeMorgan Symbols NAND (A.B) = A +B NOR (A+B) = A.B AND A.B = A.B = (A +B ) OR

More information

Metastability. Introduction. Metastability. in Altera Devices

Metastability. Introduction. Metastability. in Altera Devices in Altera Devices May 1999, ver. 4 Application Note 42 Introduction The output of an edge-triggered flipflop has two valid states: high and low. To ensure reliable operation, designs must meet the flipflop

More information

Propositional Logic Logical Implication (4A) Young W. Lim 4/21/17

Propositional Logic Logical Implication (4A) Young W. Lim 4/21/17 Propositional Logic Logical Implication (4A) Young W. Lim Copyright (c) 2016-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Example: vending machine

Example: vending machine Example: vending machine Release item after 15 cents are deposited Single coin slot for dimes, nickels o change Reset Coin Sensor Vending Machine FSM Open Release Mechanism Clock Spring 2005 CSE370 - guest

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Differentiation Rules (2A) Young Won Lim 2/22/16

Differentiation Rules (2A) Young Won Lim 2/22/16 Differentiation Rules (2A) Copyright (c) 2011-2016 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Laboratory Exercise #8 Introduction to Sequential Logic

Laboratory Exercise #8 Introduction to Sequential Logic Laboratory Exercise #8 Introduction to Sequential Logic ECEN 248: Introduction to Digital Design Department of Electrical and Computer Engineering Texas A&M University 2 Laboratory Exercise #8 1 Introduction

More information

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline.

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline. Review: Designing with FSM EECS 150 - Components and Design Techniques for Digital Systems Lec09 Counters 9-28-04 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

Bandpass Sampling (2B) Young Won Lim 3/27/12

Bandpass Sampling (2B) Young Won Lim 3/27/12 andpass Sapling (2) Copyright (c) 2009-2012 Young W. Li. Perission is granted to copy, distribute and/or odify this docuent under the ters of the GNU Free Docuentation License, Version 1.2 or any later

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements: Lecture material adapted from R. Katz, G. Borriello, Contemporary Logic esign (second edition), Prentice-Hall/Pearson

More information

Timing Constraints in Sequential Designs. 63 Sources: TSR, Katz, Boriello & Vahid

Timing Constraints in Sequential Designs. 63 Sources: TSR, Katz, Boriello & Vahid Timing Constraints in Sequential esigns 63 Sources: TSR, Katz, Boriello & Vahid Where we are now. What we covered last time: FSMs What we ll do next: Timing constraints Upcoming deadlines: ZyBook today:

More information

Capacitors in an AC circuit

Capacitors in an AC circuit Capacitors in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Background ODEs (2A) Young Won Lim 3/7/15

Background ODEs (2A) Young Won Lim 3/7/15 Background ODEs (2A) Copyright (c) 2014-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Design of Datapath Controllers

Design of Datapath Controllers Design of Datapath Controllers Speaker: 俞子豪 Adviser: Prof. An-Yeu Wu ACCESS IC LAB Outline vsequential Circuit Model vfinite State Machines vuseful Modeling Techniques P. 2 Model of Sequential Circuits

More information