FSM Examples. Young Won Lim 11/6/15

Size: px
Start display at page:

Download "FSM Examples. Young Won Lim 11/6/15"

Transcription

1 /6/5

2 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version published by the Free Software Foundation; with no Invariant Sections, no Front-Cover Texts, and no Back-Cover Texts. A copy of the license is included in the section entitled "GNU Free Documentation License". Please send corrections (or suggestions) to youngwlim@hotmail.com. This document was produced by using OpenOffice and Octave. /6/5

3 Latches and FF's 3 /6/5

4 Inputs and Outputs L B Traffic Lights - Outputs L A L B Sensor - Inputs T B T A T B L A T A T A L A T B L B 4 /6/5

5 States T A = L A L B L A T A = L A L B L A L B L B T B = L B L B L A L A T B = L A L A L B L B 5 /6/5

6 Moore State Transition Table S S T A T B S' S' S S T A T B S' X X X X X X X X S S S S T B S S T B X X X X X X X X S' = S S + S S = S + S S S T A T B S' S S T A S S T B X X X X X X X X S' = S S T A + S S T B 6 /6/5

7 States S S 2 L A L A L B L B S S 2 L A S S 2 L A L A =S L A =S S S S 2 L B S S 2 L B L B =S L A =S S 7 /6/5

8 Moore () inputs T A NextSt Compute NextSt from CurrSt, Ta, Tb T B Next State S' Current State S CurrSt This NextSt becomes a new CurrSt clk S' states : S : S : S2 : S3 S S S outputs L A L A L B L B outputs (LA/LB) : Green : Yellow : Red : X Compute NextSt CurrSt <= NextSt 8 /6/5

9 Moore inputs T A Inputs T A T B T B Current State S S Next State Current State S' = S + S Next States S' S S' = S S T A + S S T B S' = S + S S' = S S T A + S S T B S' S clk states : S : S : S2 : S3 outputs (LA/LB) : Green : Yellow : Red : X S S L A =S L A =S S L B =S L B =S S outputs Current State S S L A L A L Outputs B L L A =S L B B=S L A =S S L B =S S 9 /6/5

10 Verilog Gate Level Design - testbench `timescale ns/ps module traffic_controller_testbench; parameter cycle = 4; reg clock; always begin #(cycle/2) clock=~clock; end traffic_controller DUT (.clock(clock),.reset(reset),.ta(ta),.tb(tb),.la(la),.lb(lb) ); reg reset; reg TA, TB; wire [:] LA, LB; initial begin clock = ; reset = ; TA = ; TB = ; #; #(cycle) reset = ; #(cycle) TB = ; #(cycle) TA = ; #(cycle*3) TA = ; TB = ; #(cycle*3) TA = ; end initial begin $dumpfile("traffic.vcd"); $dumpvars(, DUT); #(cycle * ); $finish; end endmodule /6/5

11 Verilog Gate Level Design traffic_gate.v module traffic_controller(clock, reset, TA, TB, LA, LB); input clock, reset; input TA, TB; output [:] LA, LB; reg [:] S; wire [:] NextS; clock) begin: SEQ if (reset) #8 S = 2'b; else #8 S = NextS; end not #8 (Sb, S[]); not #8 (Sb, S[]); not #8 (TAb, TA); not #8 (TBb, TB); xor #8 (NextS[], S[], S[]); or #8 (NextS[], NS, NS2); and #8 (NS, Sb, Sb, TAb); and #8 (NS2, S[], Sb, TBb); buf #8 (LA[], S[]); and #8 (LA[], Sb, S[]); not #8 (LB[], S[]); and #8 (LB[], S[], S[]); endmodule /6/5

12 VCD Output with zero delay 2 /6/5

13 VCD Output with gate delays 3 /6/5

14 VCD Output with gate delays Output Delay 4 /6/5

15 Divide By N Counter reset S S Y= Y= Input: none Output: Y= every 3 cycles S2 Y= State Transition Table Curr St S S S2 Next St S S2 S Output Table Curr St S S S2 Output 5 /6/5

16 Encoding States State Transition Table Output Table State Transition Table Output Table Curr St Next St Curr St Output Curr St Next St Curr St Output S S S2 S S2 S S S S2 S S S2 S S2 S S S S2 S S S' S' S S Y S 2 S' S Y S S S' 2 S' S 2 S S' =S S Y =S S S' 2 =S 2 S S S Y =S 2 S S S S' =S S S' =S 2 S S S' =S 2 S S S S 2 6 /6/5

17 Resolution Time 7 /6/5

18 FF Timing (Ideal) D 3: Q 3: Inputs to FFs Outputs of FFs D 3 Q 3 D 3 Q 3 Inputs to FFs D 2 D Q 2 Q Outputs of FFs D 2 D Register Q 2 Q D Q D Q CLK 8 /6/5

19 Sequence of States (t) th edge (t+) th edge (t+2) th edge (t+3) th edge (t+4) th edge (t+5) th edge D 3: Inputs to FFs Q 3: Q(t) Q(t+) Q(t+2) Q(t+3) Q(t+4) Q(t+5) Outputs of FFs (t) th edge (t+) th edge (t+2) th edge (t+3) th edge (t+4) th edge (t+5) th edge D 3:?????? Find inputs to FFs Q 3: Q(t) Q(t+) Q(t+2) Q(t+3) Q(t+4) Q(t+5) which will make outputs in this sequence 9 /6/5

20 When NextSt becomes CurrSt Compute NextSt from CurrSt, Ta, Tb NextSt CurrSt This NextSt becomes a new CurrSt Compute NextSt CurrSt <= NextSt 2 /6/5

21 Finding FF Inputs D 3:?????? Inputs to FFs Q 3: Q(t) Q(t+) Q(t+2) Q(t+3) Q(t+4) Q(t+5) Outputs of FFs D 3 D 2 Q 3 Q 2 During the t th clock edge period, Compute the next state Q(t+) using the current state Q(t) and other external inputs D Q Place it to FF inputs D Q After the next clock edge, (t+) th, the computed next state Q(t+) becomes the current state Inputs 2 /6/5

22 Method of Finding FF Inputs D 3: Q 3: Q(t+) Q(t+2) Q(t+3) Q(t+4) Q(t+5) Q(t+6) Q(t) Q(t+) Q(t+2) Q(t+3) Q(t+4) Q(t+5) Find the boolean functions D3, D2, D, D in terms of Q3, Q2, Q, Q, and external inputs for all possible cases. Q 3 Q 2 Q Q I D 3 Q 3 Q 2 Q Q I D 3 D 3 Q 3 D 2 Q 2 D Q Q 3 Q 2 Q Q I D 3 Q 3 Q 2 Q Q I D 3 D Q Inputs 22 /6/5

23 State Transition D 3: Q 3: Q(t+) Q(t) Q(t+) Compute the next state using the current state and external inputs in the current clock cycle Q(t+) Q(t) Q(t+) Q(t) Q(t+) Inputs Inputs After the next clock edge, the computed next state (FF Inputs) becomes the current state (FF Outputs) 23 /6/5

24 Moore INPUT Next State Combinational Logic State Register Output Combinational Logic OUTPUT clock 24 /6/5

25 Mealy Machine INPUT Next State Combinational Logic State Register Output Combinational Logic OUTPUT clock 25 /6/5

26 References [] [2] M. M. Mano, C. R. Kime, Logic and Computer Design Fundamentals, 4 th ed. [3] J. Stephenson, Understanding Metastability in FPGAs. Altera Corporation white paper. July 29. /6/5

Sequential Circuit Timing. Young Won Lim 11/6/15

Sequential Circuit Timing. Young Won Lim 11/6/15 Copyright (c) 2011 2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Finite State Machine (1A) Young Won Lim 6/9/18

Finite State Machine (1A) Young Won Lim 6/9/18 Finite State Machine (A) 6/9/8 Copyright (c) 23-28 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free ocumentation License, Version.2 or

More information

Relations (3A) Young Won Lim 3/27/18

Relations (3A) Young Won Lim 3/27/18 Relations (3A) Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Higher Order ODE's (3A) Young Won Lim 7/7/14

Higher Order ODE's (3A) Young Won Lim 7/7/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Higher Order ODE's (3A) Young Won Lim 12/27/15

Higher Order ODE's (3A) Young Won Lim 12/27/15 Higher Order ODE's (3A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Expected Value (10D) Young Won Lim 6/12/17

Expected Value (10D) Young Won Lim 6/12/17 Expected Value (10D) Copyright (c) 2017 Young W. Lim. Permissios granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Root Locus (2A) Young Won Lim 10/15/14

Root Locus (2A) Young Won Lim 10/15/14 Root Locus (2A Copyright (c 2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Matrix Transformation (2A) Young Won Lim 11/10/12

Matrix Transformation (2A) Young Won Lim 11/10/12 Matrix (A Copyright (c 0 Young W. im. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation icense, Version. or any later version published

More information

Example: vending machine

Example: vending machine Example: vending machine Release item after 15 cents are deposited Single coin slot for dimes, nickels o change Reset Coin Sensor Vending Machine FSM Open Release Mechanism Clock Spring 2005 CSE370 - guest

More information

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09 Detect Sensor (6B) Eddy Current Sensor Copyright (c) 2009 Young W. Lim. Permission is granteo copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

CT Rectangular Function Pairs (5B)

CT Rectangular Function Pairs (5B) C Rectangular Function Pairs (5B) Continuous ime Rect Function Pairs Copyright (c) 009-013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU

More information

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007 EECS 150 - Components and Design Techniques for Digital Systems FSMs 9/11/2007 Sarah Bird Electrical Engineering and Computer Sciences University of California, Berkeley Slides borrowed from David Culler

More information

General CORDIC Description (1A)

General CORDIC Description (1A) General CORDIC Description (1A) Copyright (c) 2010, 2011, 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Background Trigonmetry (2A) Young Won Lim 5/5/15

Background Trigonmetry (2A) Young Won Lim 5/5/15 Background Trigonmetry (A) Copyright (c) 014 015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10 DFT Frequency (9A) Each ow of the DFT Matrix Copyright (c) 2009, 2010 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GU Free Documentation License,

More information

Higher Order ODE's (3A) Young Won Lim 7/8/14

Higher Order ODE's (3A) Young Won Lim 7/8/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Introduction to ODE's (0A) Young Won Lim 3/9/15

Introduction to ODE's (0A) Young Won Lim 3/9/15 Introduction to ODE's (0A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

General Vector Space (2A) Young Won Lim 11/4/12

General Vector Space (2A) Young Won Lim 11/4/12 General (2A Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Synchronous Sequential Circuit Design. Digital Computer Design

Synchronous Sequential Circuit Design. Digital Computer Design Synchronous Sequential Circuit Design Digital Computer Design Races and Instability Combinational logic has no cyclic paths and no races If inputs are applied to combinational logic, the outputs will always

More information

Models for representing sequential circuits

Models for representing sequential circuits Sequential Circuits Models for representing sequential circuits Finite-state machines (Moore and Mealy) Representation of memory (states) Changes in state (transitions) Design procedure State diagrams

More information

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process Parity Checker Example A string of bits has even parity if the number of 1 s in the string is even. Design a circuit that accepts a bit-serial stream of bits and outputs a 0 if the parity thus far is even

More information

Finite State Machine (FSM)

Finite State Machine (FSM) Finite State Machine (FSM) Consists of: State register Stores current state Loads next state at clock edge Combinational logic Computes the next state Computes the outputs S S Next State CLK Current State

More information

Matrix Transformation (2A) Young Won Lim 11/9/12

Matrix Transformation (2A) Young Won Lim 11/9/12 Matrix (A Copyright (c 01 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs Appendix B Review of Digital Logic Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu Elect. & Comp. Eng. 2 DeMorgan Symbols NAND (A.B) = A +B NOR (A+B) = A.B AND A.B = A.B = (A +B ) OR

More information

Signal Functions (0B)

Signal Functions (0B) Signal Functions (0B) Signal Functions Copyright (c) 2009-203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Separable Equations (1A) Young Won Lim 3/24/15

Separable Equations (1A) Young Won Lim 3/24/15 Separable Equations (1A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Complex Trigonometric and Hyperbolic Functions (7A)

Complex Trigonometric and Hyperbolic Functions (7A) Complex Trigonometric and Hyperbolic Functions (7A) 07/08/015 Copyright (c) 011-015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Discrete Time Rect Function(4B)

Discrete Time Rect Function(4B) Discrete Time Rect Function(4B) Discrete Time Rect Functions Copyright (c) 29-213 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect. Young Won Lim 9/23/09

Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect. Young Won Lim 9/23/09 Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect Copyright (c) 2009 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Down-Sampling (4B) Young Won Lim 10/25/12

Down-Sampling (4B) Young Won Lim 10/25/12 Down-Sampling (4B) /5/ Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later

More information

Higher Order ODE's, (3A)

Higher Order ODE's, (3A) Higher Order ODE's, (3A) Initial Value Problems, and Boundary Value Problems Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms

More information

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 10/22/12

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 10/22/12 Line Integrals (4A Line Integral Path Independence Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Capacitor Young Won Lim 06/22/2017

Capacitor Young Won Lim 06/22/2017 Capacitor Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Complex Series (3A) Young Won Lim 8/17/13

Complex Series (3A) Young Won Lim 8/17/13 Complex Series (3A) 8/7/3 Copyright (c) 202, 203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or

More information

Audio Signal Generation. Young Won Lim 1/29/18

Audio Signal Generation. Young Won Lim 1/29/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Discrete Time Rect Function(4B)

Discrete Time Rect Function(4B) Discrete Time Rect Function(4B) Discrete Time Rect Functions Copyright (c) 29-23 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Implication (6A) Young Won Lim 3/17/18

Implication (6A) Young Won Lim 3/17/18 Implication (6A) 3/17/18 Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Dispersion (3A) 1-D Dispersion. Young W. Lim 10/15/13

Dispersion (3A) 1-D Dispersion. Young W. Lim 10/15/13 1-D Dispersion Copyright (c) 013. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

ODE Background: Differential (1A) Young Won Lim 12/29/15

ODE Background: Differential (1A) Young Won Lim 12/29/15 ODE Background: Differential (1A Copyright (c 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Fourier Analysis Overview (0B)

Fourier Analysis Overview (0B) CTFS: Continuous Time Fourier Series CTFT: Continuous Time Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2009-2016 Young W. Lim. Permission

More information

Bayes Theorem (10B) Young Won Lim 6/3/17

Bayes Theorem (10B) Young Won Lim 6/3/17 Bayes Theorem (10B) Copyright (c) 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Down-Sampling (4B) Young Won Lim 11/15/12

Down-Sampling (4B) Young Won Lim 11/15/12 Down-Sampling (B) /5/ Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later

More information

Group & Phase Velocities (2A)

Group & Phase Velocities (2A) (2A) 1-D Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Up-Sampling (5B) Young Won Lim 11/15/12

Up-Sampling (5B) Young Won Lim 11/15/12 Up-Sampling (5B) Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later version

More information

The Growth of Functions (2A) Young Won Lim 4/6/18

The Growth of Functions (2A) Young Won Lim 4/6/18 Copyright (c) 2015-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Review Problem 1. should be on. door state, false if light should be on when a door is open. v Describe when the dome/interior light of the car

Review Problem 1. should be on. door state, false if light should be on when a door is open. v Describe when the dome/interior light of the car Review Problem 1 v Describe when the dome/interior light of the car should be on. v DriverDoorOpen = true if lefthand door open v PassDoorOpen = true if righthand door open v LightSwitch = true if light

More information

Propagating Wave (1B)

Propagating Wave (1B) Wave (1B) 3-D Wave Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

CSC 322: Computer Organization Lab

CSC 322: Computer Organization Lab CSC 322: Computer Organization Lab Lecture 3: Logic Design Dr. Haidar M. Harmanani CSC 322: Computer Organization Lab Part I: Combinational Logic Dr. Haidar M. Harmanani Logical Design of Digital Systems

More information

Chapter 6. Synchronous Sequential Circuits

Chapter 6. Synchronous Sequential Circuits Chapter 6 Synchronous Sequential Circuits In a combinational circuit, the values of the outputs are determined solely by the present values of its inputs. In a sequential circuit, the values of the outputs

More information

Linear Equations with Constant Coefficients (2A) Young Won Lim 4/13/15

Linear Equations with Constant Coefficients (2A) Young Won Lim 4/13/15 Linear Equations with Constant Coefficients (2A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Different encodings generate different circuits

Different encodings generate different circuits FSM State Encoding Different encodings generate different circuits no easy way to find best encoding with fewest logic gates or shortest propagation delay. Binary encoding: K states need log 2 K bits i.e.,

More information

Capacitor and Inductor

Capacitor and Inductor Capacitor and Inductor Copyright (c) 2015 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Capacitor and Inductor

Capacitor and Inductor Capacitor and Inductor Copyright (c) 2015 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Group Delay and Phase Delay (1A) Young Won Lim 7/19/12

Group Delay and Phase Delay (1A) Young Won Lim 7/19/12 Group Delay and Phase Delay (A) 7/9/2 Copyright (c) 2 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Lab #10: Design of Finite State Machines

Lab #10: Design of Finite State Machines Lab #10: Design of Finite State Machines ECE/COE 0501 Date of Experiment: 3/1/2017 Report Written: 3/4/2017 Submission Date: 3/15/2017 Nicholas Haver nicholas.haver@pitt.edu 1 H a v e r PURPOSE The purpose

More information

Propositional Logic Resolution (6A) Young W. Lim 12/12/16

Propositional Logic Resolution (6A) Young W. Lim 12/12/16 Propositional Logic Resolution (6A) Young W. Lim Copyright (c) 2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Definitions of the Laplace Transform (1A) Young Won Lim 1/31/15

Definitions of the Laplace Transform (1A) Young Won Lim 1/31/15 Definitions of the Laplace Transform (A) Copyright (c) 24 Young W. Lim. Permission is granted to copy, distriute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Design of Datapath Controllers

Design of Datapath Controllers Design of Datapath Controllers Speaker: 俞子豪 Adviser: Prof. An-Yeu Wu ACCESS IC LAB Outline vsequential Circuit Model vfinite State Machines vuseful Modeling Techniques P. 2 Model of Sequential Circuits

More information

Present Next state Output state w = 0 w = 1 z A A B 0 B A C 0 C A C 1

Present Next state Output state w = 0 w = 1 z A A B 0 B A C 0 C A C 1 W Combinational circuit Flip-flops Combinational circuit Z cycle: t t t 2 t 3 t 4 t 5 t 6 t 7 t 8 t 9 t : : Figure 8.. The general form of a sequential circuit. Figure 8.2. Sequences of input and output

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

EECS150 - Digital Design Lecture 23 - FSMs & Counters

EECS150 - Digital Design Lecture 23 - FSMs & Counters EECS150 - Digital Design Lecture 23 - FSMs & Counters April 8, 2010 John Wawrzynek Spring 2010 EECS150 - Lec22-counters Page 1 One-hot encoding of states. One FF per state. State Encoding Why one-hot encoding?

More information

Propositional Logic Resolution (6A) Young W. Lim 12/31/16

Propositional Logic Resolution (6A) Young W. Lim 12/31/16 Propositional Logic Resolution (6A) Young W. Lim Copyright (c) 2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Differentiation Rules (2A) Young Won Lim 1/30/16

Differentiation Rules (2A) Young Won Lim 1/30/16 Differentiation Rules (2A) Copyright (c) 2011-2016 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Complex Functions (1A) Young Won Lim 2/22/14

Complex Functions (1A) Young Won Lim 2/22/14 Complex Functions (1A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 11/2/12

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 11/2/12 Line Integrals (4A Line Integral Path Independence Copyright (c 2012 Young W. Lim. Permission is granted to copy, distriute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Audio Signal Generation. Young Won Lim 2/2/18

Audio Signal Generation. Young Won Lim 2/2/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Bayes Theorem (4A) Young Won Lim 3/5/18

Bayes Theorem (4A) Young Won Lim 3/5/18 Bayes Theorem (4A) Copyright (c) 2017-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Total time is: 1 setup, 2 AND, 3 XOR, 1 delay = (1*1) + (2*2) + (3*3) + (1*1) = 15ns

Total time is: 1 setup, 2 AND, 3 XOR, 1 delay = (1*1) + (2*2) + (3*3) + (1*1) = 15ns Clock Period/ Delay Analysis: Find longest possible path (time-wise) between two flip-flops. If 2ns for AND and 3ns for XOR, with T delayff = 1ns and T setupff = 1 ns. So the total time is: 1 setupff +

More information

Phasor Young Won Lim 05/19/2015

Phasor Young Won Lim 05/19/2015 Phasor Copyright (c) 2009-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Laboratory Exercise #8 Introduction to Sequential Logic

Laboratory Exercise #8 Introduction to Sequential Logic Laboratory Exercise #8 Introduction to Sequential Logic ECEN 248: Introduction to Digital Design Department of Electrical and Computer Engineering Texas A&M University 2 Laboratory Exercise #8 1 Introduction

More information

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER /2017

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER /2017 UNIVERSITY OF BOLTON TW35 SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER 2-2016/2017 INTERMEDIATE DIGITAL ELECTRONICS AND COMMUNICATIONS MODULE NO: EEE5002

More information

Hamiltonian Cycle (3A) Young Won Lim 5/5/18

Hamiltonian Cycle (3A) Young Won Lim 5/5/18 Hamiltonian Cycle (3A) Copyright (c) 015 018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any

More information

Resolution (7A) Young Won Lim 4/21/18

Resolution (7A) Young Won Lim 4/21/18 (7A) Coyright (c) 215 218 Young W. Lim. Permission is granted to coy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version ublished

More information

General Vector Space (3A) Young Won Lim 11/19/12

General Vector Space (3A) Young Won Lim 11/19/12 General (3A) /9/2 Copyright (c) 22 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version

More information

ECE/Comp Sci 352 Digital Systems Fundamentals. Charles R. Kime Section 2 Fall Logic and Computer Design Fundamentals

ECE/Comp Sci 352 Digital Systems Fundamentals. Charles R. Kime Section 2 Fall Logic and Computer Design Fundamentals University of Wisconsin - Madison ECE/Comp Sci 352 Digital Systems Fundamentals Charles R. Kime Section 2 Fall 2001 Lecture 5 Registers & Counters Part 2 Charles Kime Counters Counters are sequential circuits

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Laboratory Exercise #11 A Simple Digital Combination Lock

Laboratory Exercise #11 A Simple Digital Combination Lock Laboratory Exercise #11 A Simple Digital Combination Lock ECEN 248: Introduction to Digital Design Department of Electrical and Computer Engineering Texas A&M University 2 Laboratory Exercise #11 1 Introduction

More information

CLTI System Response (4A) Young Won Lim 4/11/15

CLTI System Response (4A) Young Won Lim 4/11/15 CLTI System Response (4A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Probability (10A) Young Won Lim 6/12/17

Probability (10A) Young Won Lim 6/12/17 Probability (10A) Copyright (c) 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Audio Signal Generation. Young Won Lim 2/2/18

Audio Signal Generation. Young Won Lim 2/2/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Propositional Logic Logical Implication (4A) Young W. Lim 4/11/17

Propositional Logic Logical Implication (4A) Young W. Lim 4/11/17 Propositional Logic Logical Implication (4A) Young W. Lim Copyright (c) 2016-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

EECS 270 Midterm 2 Exam Answer Key Winter 2017

EECS 270 Midterm 2 Exam Answer Key Winter 2017 EES 270 Midterm 2 Exam nswer Key Winter 2017 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. NOTES: 1. This part of the exam

More information

CSE 320: Spartan3 I/O Peripheral Testing

CSE 320: Spartan3 I/O Peripheral Testing CSE 320: Spartan3 I/O Peripheral Testing Ujjwal Gupta, Kyle Gilsdorf Arizona State University Version 1.1 October 2, 2012 Contents 1 Introduction 2 2 Test code description and procedure 2 2.1 Modes...............................

More information

Group Velocity and Phase Velocity (1A) Young Won Lim 5/26/12

Group Velocity and Phase Velocity (1A) Young Won Lim 5/26/12 Group Velocity and Phase Velocity (1A) Copyright (c) 211 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd..

Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd.. Indian Institute of Technology Jodhpur, Year 2017-2018 Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd.. Course Instructor: Shree Prakash Tiwari Email: sptiwari@iitj.ac.in

More information

Signals and Spectra (1A) Young Won Lim 11/26/12

Signals and Spectra (1A) Young Won Lim 11/26/12 Signals and Spectra (A) Copyright (c) 202 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later

More information

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering Final Examination ECE 241F - Digital Systems Examiners: S. Brown,

More information

ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter. Lab 3. Lab 3 Gate Timing. Lab 3 Steps in designing a State Machine. Timing diagram of a DFF

ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter. Lab 3. Lab 3 Gate Timing. Lab 3 Steps in designing a State Machine. Timing diagram of a DFF ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter Timing diagram of a DFF Lab 3 Gate Timing difference timing for difference kind of gate, cost dependence (1) Setup Time = t2-t1 (2) Propagation

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Implication (6A) Young Won Lim 3/12/18

Implication (6A) Young Won Lim 3/12/18 (6A) Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

CSE 140 Midterm 3 version A Tajana Simunic Rosing Spring 2015

CSE 140 Midterm 3 version A Tajana Simunic Rosing Spring 2015 CSE 140 Midterm 3 version A Tajana Simunic Rosing Spring 2015 Name of the person on your left : Name of the person on your right: 1. 20 points 2. 20 points 3. 20 points 4. 15 points 5. 15 points 6. 10

More information

Introduction to ODE's (0P) Young Won Lim 12/27/14

Introduction to ODE's (0P) Young Won Lim 12/27/14 Introuction to ODE's (0P) Copyright (c) 2011-2014 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

CT Correlation (2A) Young Won Lim 9/9/14

CT Correlation (2A) Young Won Lim 9/9/14 CT Correlation (2A) Copyright (c) 200-204 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later

More information

Surface Integrals (6A)

Surface Integrals (6A) Surface Integrals (6A) Surface Integral Stokes' Theorem Copright (c) 2012 Young W. Lim. Permission is granted to cop, distribute and/or modif this document under the terms of the GNU Free Documentation

More information

Efficient Verification of Multi-Property Designs. The benefit of wrong assumptions (E. Goldberg, M. Güdemann, D. Kroening, R.

Efficient Verification of Multi-Property Designs. The benefit of wrong assumptions (E. Goldberg, M. Güdemann, D. Kroening, R. Efficient Verification of Multi-Property Designs The benefit of wrong assumptions (E. Goldberg, M. Güdemann, D. Kroening, R. Mukherjee) Motivation Main bulk of research: single property verification A

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information