General CORDIC Description (1A)

Size: px
Start display at page:

Download "General CORDIC Description (1A)"

Transcription

1 General CORDIC Description (1A)

2 Copyright (c) 2010, 2011, 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published by the Free Software Foundation; with no Invariant Sections, no Front-Cover Texts, and no Back-Cover Texts. A copy of the license is included in the section entitled "GNU Free Documentation License". Please send corrections (or suggestions) to youngwlim@hotmail.com. This document was produced by using OpenOffice and Octave.

3 CORDIC Background 1. CORDIC FAQ, G. R. Griffin, 1A General 3

4 Complex Multiplication Given Complex Value Rotation Value Rotated Complex Value C = R = I r j Q r C ' = ' ' C ' = C R ' ' = I r j Q r = I r Q c Q r I r Q r I r j Q r C = r c ϕ c R = r r ϕ r C' = r c r r (ϕ c +ϕ c ) 1A General 4

5 Adding / Subtracting Phase To add R' phase to C C ' = C R ' ' = I r j Q r = I r Q c Q r I r Q r I r j Q r To sub R' phase to C C ' = C R ' ' = I r j Q r = I r Q c Q r I r Q r I r j Q r 1A General 5

6 Adding / Subtracting 90 Degrees To add R' phase to C C ' = C ( + j ) ' ' = 0 j = Q c j 0 j To sub R' phase to C C ' = C ( j ) ' ' = 0 j = Q c j 0 j 1A General 6

7 Elementary Angle: tan -1 (k l ) tan θ l = 1 2 l = k l θ l = tan 1 (2 l ) = tan 1 (k l ) j magnitude l = 0 1 j 2 0 θ 0 = tan 1 (2 0 ) = k l 2 = l l = 1 1 j 2 1 θ 1 = tan 1 (2 1 ) = l = 2 l = 3 l = j j j 2 4 θ 2 θ 3 θ 4 = tan 1 (2 2 ) = = tan 1 (2 3 ) = = tan 1 (2 4 ) = Represent arbitrary angle θ in terms of ±θ 0, ±θ 1, ±θ 2, ±θ 3,, ±θ l, ( k l = tan θ l = 1 2 l, l = 0,1, 2, ) 1A General 7

8 Adding / Subtracting tan -1 (k l ) To add R' phase to C C ' = C (1 + j k l ) 1 j k ' ' = (1 + j k l ) = ( k l Q c ) + j (Q c + k l ) = ( 2 l Q c ) + j (Q c + 2 l ) To sub R' phase to C C ' = C (1 j k l ) 1 j k ' ' = (1 j k l ) = ( + k l Q c ) + j (Q c k l ) = ( + 2 l Q c ) + j (Q c 2 l ) θ l = tan 1 (k l ) = tan 1 (2 l ) k l = 1 2 l, l = 0,1,2, 1A General 8

9 Phase and Magnitude of 1 + jk l (1) Cumulative Magnitude L k = 1 2 l R = 1 + j k Phase of R Magnitude of R CORDIC Gain j1.0 45º j º j º j º j º j º j º j º R = 1 + j k l k l = 1/2 l l = 0,1, 2, R = k l 2 = l > 1.0 1A General 9

10 Phase and Magnitude of 1 + jk l (2) Represent arbitrary angle θ in terms of ±θ 0, ±θ 1, ±θ 2, ±θ 3,, ±θ l, ( k l = tan θ l = 1 2 l, l = 0,1, 2, ) Binary Search Shift and Add No multiplier θ 0 = tan 1 (2 0 ) = θ 1 = tan 1 (2 1 ) = θ 2 = tan 1 (2 2 ) = θ 3 = tan 1 (2 3 ) = θ 4 = tan 1 (2 4 ) = θ 5 = tan 1 (2 5 ) = θ 6 = tan 1 (2 6 ) = θ 7 = tan 1 (2 7 ) = Phase of R 45º º º º º º º º > 92º θ θ [-180, +180] R = 0 ± j [-90, +90] R = 1 ± j k l 4 or more rotations 45º º º º = º > 92º 1A General 10

11 Phase and Magnitude of 1 + jk l (3) R = 1 ± j k l Magnitude of R k l 2 Cumulative Magnitude > 1.0 CORDIC Gain Iteration R 0 = 1 ± j (1/2 0 ) R 1 = 1 ± j (1/2 1 ) R 2 = 1 ± j (1/2 2 ) R 3 = 1 ± j (1/2 3 ) R 4 = 1 ± j (1/2 4 ) R 5 = 1 ± j (1/2 5 ) = (1/2) 2 = (1/2 2 ) 2 = (1/2 3 ) 2 = (1/2 4 ) 2 = (1/2 5 ) 2 = R 6 = 1 ± j (1/2 6 ) (1/2 6 ) 2 = R 7 = 1 ± j (1/2 7 ) (1/2 7 ) 2 = The actual CORDIC Gain depends on the number of iterations For each iteration, the corresponding is always used (either +θ i or θ i ) θ i The magnitude is growing for correction, multiply by = 1 / A General 11

12 Vector Mode Calculating Magnitude vector magnitude? Vectoring Mode C = I C= + jq c + j0 c Rotate until accumulated angle is 0 Accumulator View mag x Each iteration, the magnitude is increased by k l 2 CORDIC Gain (cumulative gain) = magnitude x magnitude x To compensate, multiply by Can t perform this gain adjustment by simple shift and add This adjustment can be done in other part of a system when relative magnitude is enough 1A General 12

13 Vector Mode Calculating Phase vector phase? Vectoring Mode C = I C= + jq c + j0 c Rotate until accumulated angle is 0 0 = tan = 1 = tan = 2 = tan = 3 = tan = 4 = tan = 5 = tan = Phase of R 45º º º º º º Accumulator View mag x θ ±θ 0 ±θ 1 ±θ 2 ±θ 3 = 0 Accumulate each rotating angles then negate the result 1A General 13

14 Angle Update in Vector Mode ' ' = (1 + j k l ) Pre Q c > 0 Q c < 0 Rotate -90 Rotate +90 l = 0 l = 1 Q c > 0 Q c < 0 Q c > 0 Q c < 0 θ 0 +θ 0 θ 0 +θ 0 Q c > 0 Q c < 0 Q c > 0 Q c < 0 Q c > 0 Q c < 0 Q c > 0 Q c < 0 θ 1 +θ 1 θ 1 +θ 1 θ 1 +θ 1 θ 1 +θ 1 l = 2 θ 2 +θ 2 θ 90 θ 0 θ 1 θ 2 θ 90 + θ 0 θ 1 + θ 2 θ θ 0 θ 1 θ 2 1A General 14

15 Multiplication of ±j Positive Phase (Q c > 0) Rotate by 90 degrees 0 j Q c j Negative Phase (Q c < 0) Rotate by +90 degrees 0 + j Q c j Resulting Phase [-90, +90] 1A General 15

16 Multiplication of 1 ± jk l Positive Phase (Q c > 0) Rotate by 1 j k l 1 j k l ( +2 l Q c ) + j (Q c 2 l ) Negative Phase (Q c < 0) Rotate by 1 + j k l 1 j k l ( 2 l Q c ) + j(q c +2 l ) After iterations, the result + j 0 1A General 16

17 Rotation Mode Calculating Sine and Cosine Rotation Mode C = + jq c C= + j0 Rotate until accumulated angle is θ Accumulator View I ' c Q ' c cosθ sin θ C= 0+ jq c θ > +90 cos θ Q c sin θ C= 0 jq c θ < 90 C = + jq c 1A General 17

18 Initial Vector Θ > +90 starting from 0 + j1 Θ < +90 starting from 1 + j0 Θ < 90 starting from 0 j1 Θ > 90 starting from 1 j0 Initialize the accumulate rotation -90, +90, 0 1A General 18

19 Angle Update in Rotation Mode In each iteration (Θ the accumulated rotation) < 0 then add the next angle (Θ the accumulated rotation) > 0 then subtract the next angle The final Q c cosine sine 1A General 19

20 1A General 20

21 References [1] [2] J.H. McClellan, et al., Signal Processing First, Pearson Prentice Hall, 2003 [3] A graphical interpretation of the DFT and FFT, by Steve Mann [4] CORDIC FAQ,

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10 DFT Frequency (9A) Each ow of the DFT Matrix Copyright (c) 2009, 2010 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GU Free Documentation License,

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

CT Rectangular Function Pairs (5B)

CT Rectangular Function Pairs (5B) C Rectangular Function Pairs (5B) Continuous ime Rect Function Pairs Copyright (c) 009-013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Group & Phase Velocities (2A)

Group & Phase Velocities (2A) (2A) 1-D Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Discrete Time Rect Function(4B)

Discrete Time Rect Function(4B) Discrete Time Rect Function(4B) Discrete Time Rect Functions Copyright (c) 29-213 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Down-Sampling (4B) Young Won Lim 10/25/12

Down-Sampling (4B) Young Won Lim 10/25/12 Down-Sampling (4B) /5/ Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later

More information

Phasor Young Won Lim 05/19/2015

Phasor Young Won Lim 05/19/2015 Phasor Copyright (c) 2009-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Discrete Time Rect Function(4B)

Discrete Time Rect Function(4B) Discrete Time Rect Function(4B) Discrete Time Rect Functions Copyright (c) 29-23 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Signal Functions (0B)

Signal Functions (0B) Signal Functions (0B) Signal Functions Copyright (c) 2009-203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Down-Sampling (4B) Young Won Lim 11/15/12

Down-Sampling (4B) Young Won Lim 11/15/12 Down-Sampling (B) /5/ Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later

More information

Bandpass Sampling (2B) Young Won Lim 3/27/12

Bandpass Sampling (2B) Young Won Lim 3/27/12 andpass Sapling (2) Copyright (c) 2009-2012 Young W. Li. Perission is granted to copy, distribute and/or odify this docuent under the ters of the GNU Free Docuentation License, Version 1.2 or any later

More information

Expected Value (10D) Young Won Lim 6/12/17

Expected Value (10D) Young Won Lim 6/12/17 Expected Value (10D) Copyright (c) 2017 Young W. Lim. Permissios granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Up-Sampling (5B) Young Won Lim 11/15/12

Up-Sampling (5B) Young Won Lim 11/15/12 Up-Sampling (5B) Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later version

More information

Fourier Analysis Overview (0B)

Fourier Analysis Overview (0B) CTFS: Continuous Time Fourier Series CTFT: Continuous Time Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2009-2016 Young W. Lim. Permission

More information

Group Delay and Phase Delay (1A) Young Won Lim 7/19/12

Group Delay and Phase Delay (1A) Young Won Lim 7/19/12 Group Delay and Phase Delay (A) 7/9/2 Copyright (c) 2 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Matrix Transformation (2A) Young Won Lim 11/10/12

Matrix Transformation (2A) Young Won Lim 11/10/12 Matrix (A Copyright (c 0 Young W. im. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation icense, Version. or any later version published

More information

General Vector Space (2A) Young Won Lim 11/4/12

General Vector Space (2A) Young Won Lim 11/4/12 General (2A Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Background Trigonmetry (2A) Young Won Lim 5/5/15

Background Trigonmetry (2A) Young Won Lim 5/5/15 Background Trigonmetry (A) Copyright (c) 014 015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or

More information

Undersampling (2B) Young Won Lim 4/4/12

Undersampling (2B) Young Won Lim 4/4/12 Undersapling (2) Copyright (c) 2009-2012 Young W. Li. Perission is granted to copy, distribute and/or odify this docuent under the ters of the GNU Free Docuentation License, Version 1.2 or any later version

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor and Inductor

Capacitor and Inductor Capacitor and Inductor Copyright (c) 2015 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Capacitor and Inductor

Capacitor and Inductor Capacitor and Inductor Copyright (c) 2015 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Capacitor Young Won Lim 06/22/2017

Capacitor Young Won Lim 06/22/2017 Capacitor Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Propagating Wave (1B)

Propagating Wave (1B) Wave (1B) 3-D Wave Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Matrix Transformation (2A) Young Won Lim 11/9/12

Matrix Transformation (2A) Young Won Lim 11/9/12 Matrix (A Copyright (c 01 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

Higher Order ODE's (3A) Young Won Lim 7/7/14

Higher Order ODE's (3A) Young Won Lim 7/7/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Group Velocity and Phase Velocity (1A) Young Won Lim 5/26/12

Group Velocity and Phase Velocity (1A) Young Won Lim 5/26/12 Group Velocity and Phase Velocity (1A) Copyright (c) 211 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Complex Trigonometric and Hyperbolic Functions (7A)

Complex Trigonometric and Hyperbolic Functions (7A) Complex Trigonometric and Hyperbolic Functions (7A) 07/08/015 Copyright (c) 011-015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Higher Order ODE's (3A) Young Won Lim 12/27/15

Higher Order ODE's (3A) Young Won Lim 12/27/15 Higher Order ODE's (3A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

CLTI Differential Equations (3A) Young Won Lim 6/4/15

CLTI Differential Equations (3A) Young Won Lim 6/4/15 CLTI Differential Equations (3A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Relations (3A) Young Won Lim 3/27/18

Relations (3A) Young Won Lim 3/27/18 Relations (3A) Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Root Locus (2A) Young Won Lim 10/15/14

Root Locus (2A) Young Won Lim 10/15/14 Root Locus (2A Copyright (c 2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Hilbert Inner Product Space (2B) Young Won Lim 2/7/12

Hilbert Inner Product Space (2B) Young Won Lim 2/7/12 Hilbert nner Product Space (2B) Copyright (c) 2009-2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect. Young Won Lim 9/23/09

Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect. Young Won Lim 9/23/09 Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect Copyright (c) 2009 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Higher Order ODE's (3A) Young Won Lim 7/8/14

Higher Order ODE's (3A) Young Won Lim 7/8/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Background ODEs (2A) Young Won Lim 3/7/15

Background ODEs (2A) Young Won Lim 3/7/15 Background ODEs (2A) Copyright (c) 2014-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Introduction to ODE's (0A) Young Won Lim 3/9/15

Introduction to ODE's (0A) Young Won Lim 3/9/15 Introduction to ODE's (0A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09 Detect Sensor (6B) Eddy Current Sensor Copyright (c) 2009 Young W. Lim. Permission is granteo copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Separable Equations (1A) Young Won Lim 3/24/15

Separable Equations (1A) Young Won Lim 3/24/15 Separable Equations (1A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Bayes Theorem (10B) Young Won Lim 6/3/17

Bayes Theorem (10B) Young Won Lim 6/3/17 Bayes Theorem (10B) Copyright (c) 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Audio Signal Generation. Young Won Lim 1/29/18

Audio Signal Generation. Young Won Lim 1/29/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 10/22/12

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 10/22/12 Line Integrals (4A Line Integral Path Independence Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Complex Series (3A) Young Won Lim 8/17/13

Complex Series (3A) Young Won Lim 8/17/13 Complex Series (3A) 8/7/3 Copyright (c) 202, 203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or

More information

Dispersion (3A) 1-D Dispersion. Young W. Lim 10/15/13

Dispersion (3A) 1-D Dispersion. Young W. Lim 10/15/13 1-D Dispersion Copyright (c) 013. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

CT Correlation (2A) Young Won Lim 9/9/14

CT Correlation (2A) Young Won Lim 9/9/14 CT Correlation (2A) Copyright (c) 200-204 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later

More information

ODE Background: Differential (1A) Young Won Lim 12/29/15

ODE Background: Differential (1A) Young Won Lim 12/29/15 ODE Background: Differential (1A Copyright (c 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

DFT Octave Codes (0B) Young Won Lim 4/15/17

DFT Octave Codes (0B) Young Won Lim 4/15/17 Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

The Growth of Functions (2A) Young Won Lim 4/6/18

The Growth of Functions (2A) Young Won Lim 4/6/18 Copyright (c) 2015-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Spectra (2A) Young Won Lim 11/8/12

Spectra (2A) Young Won Lim 11/8/12 Spectra (A) /8/ Copyright (c) 0 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later version

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Background LTI Systems (4A) Young Won Lim 4/20/15

Background LTI Systems (4A) Young Won Lim 4/20/15 Background LTI Systems (4A) Copyright (c) 2014-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

FFT Octave Codes (1B) Young Won Lim 7/6/17

FFT Octave Codes (1B) Young Won Lim 7/6/17 FFT Octave Codes (1B) Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

CLTI System Response (4A) Young Won Lim 4/11/15

CLTI System Response (4A) Young Won Lim 4/11/15 CLTI System Response (4A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Bayes Theorem (4A) Young Won Lim 3/5/18

Bayes Theorem (4A) Young Won Lim 3/5/18 Bayes Theorem (4A) Copyright (c) 2017-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Utility Functions Octave Codes (0A) Young Won Lim 1/24/18

Utility Functions Octave Codes (0A) Young Won Lim 1/24/18 Copyright (c) 2009-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Linear Equations with Constant Coefficients (2A) Young Won Lim 4/13/15

Linear Equations with Constant Coefficients (2A) Young Won Lim 4/13/15 Linear Equations with Constant Coefficients (2A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Capacitors in an AC circuit

Capacitors in an AC circuit Capacitors in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Definitions of the Laplace Transform (1A) Young Won Lim 1/31/15

Definitions of the Laplace Transform (1A) Young Won Lim 1/31/15 Definitions of the Laplace Transform (A) Copyright (c) 24 Young W. Lim. Permission is granted to copy, distriute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Audio Signal Generation. Young Won Lim 2/2/18

Audio Signal Generation. Young Won Lim 2/2/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Audio Signal Generation. Young Won Lim 2/2/18

Audio Signal Generation. Young Won Lim 2/2/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Higher Order ODE's, (3A)

Higher Order ODE's, (3A) Higher Order ODE's, (3A) Initial Value Problems, and Boundary Value Problems Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms

More information

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 11/2/12

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 11/2/12 Line Integrals (4A Line Integral Path Independence Copyright (c 2012 Young W. Lim. Permission is granted to copy, distriute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Sequential Circuit Timing. Young Won Lim 11/6/15

Sequential Circuit Timing. Young Won Lim 11/6/15 Copyright (c) 2011 2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Second Order ODE's (2A) Young Won Lim 5/5/15

Second Order ODE's (2A) Young Won Lim 5/5/15 Second Order ODE's (2A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Hyperbolic Functions (1A)

Hyperbolic Functions (1A) Hyperbolic Functions (A) 08/3/04 Copyright (c) 0-04 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or

More information

General Vector Space (3A) Young Won Lim 11/19/12

General Vector Space (3A) Young Won Lim 11/19/12 General (3A) /9/2 Copyright (c) 22 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version

More information

Hamiltonian Cycle (3A) Young Won Lim 5/5/18

Hamiltonian Cycle (3A) Young Won Lim 5/5/18 Hamiltonian Cycle (3A) Copyright (c) 015 018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any

More information

Propositional Logic Logical Implication (4A) Young W. Lim 4/11/17

Propositional Logic Logical Implication (4A) Young W. Lim 4/11/17 Propositional Logic Logical Implication (4A) Young W. Lim Copyright (c) 2016-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Complex Functions (1A) Young Won Lim 2/22/14

Complex Functions (1A) Young Won Lim 2/22/14 Complex Functions (1A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Differentiation Rules (2A) Young Won Lim 1/30/16

Differentiation Rules (2A) Young Won Lim 1/30/16 Differentiation Rules (2A) Copyright (c) 2011-2016 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Signals and Spectra (1A) Young Won Lim 11/26/12

Signals and Spectra (1A) Young Won Lim 11/26/12 Signals and Spectra (A) Copyright (c) 202 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later

More information

Background Complex Analysis (1A) Young Won Lim 9/2/14

Background Complex Analysis (1A) Young Won Lim 9/2/14 Background Complex Analsis (1A) Copright (c) 2014 Young W. Lim. Permission is granted to cop, distribute and/or modif this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Surface Integrals (6A)

Surface Integrals (6A) Surface Integrals (6A) Surface Integral Stokes' Theorem Copright (c) 2012 Young W. Lim. Permission is granted to cop, distribute and/or modif this document under the terms of the GNU Free Documentation

More information

Propositional Logic Resolution (6A) Young W. Lim 12/12/16

Propositional Logic Resolution (6A) Young W. Lim 12/12/16 Propositional Logic Resolution (6A) Young W. Lim Copyright (c) 2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Introduction to ODE's (0P) Young Won Lim 12/27/14

Introduction to ODE's (0P) Young Won Lim 12/27/14 Introuction to ODE's (0P) Copyright (c) 2011-2014 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

FSM Examples. Young Won Lim 11/6/15

FSM Examples. Young Won Lim 11/6/15 /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version published

More information

Propositional Logic Logical Implication (4A) Young W. Lim 4/21/17

Propositional Logic Logical Implication (4A) Young W. Lim 4/21/17 Propositional Logic Logical Implication (4A) Young W. Lim Copyright (c) 2016-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Implication (6A) Young Won Lim 3/17/18

Implication (6A) Young Won Lim 3/17/18 Implication (6A) 3/17/18 Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Propositional Logic Resolution (6A) Young W. Lim 12/31/16

Propositional Logic Resolution (6A) Young W. Lim 12/31/16 Propositional Logic Resolution (6A) Young W. Lim Copyright (c) 2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Differentiation Rules (2A) Young Won Lim 2/22/16

Differentiation Rules (2A) Young Won Lim 2/22/16 Differentiation Rules (2A) Copyright (c) 2011-2016 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Probability (10A) Young Won Lim 6/12/17

Probability (10A) Young Won Lim 6/12/17 Probability (10A) Copyright (c) 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Resolution (7A) Young Won Lim 4/21/18

Resolution (7A) Young Won Lim 4/21/18 (7A) Coyright (c) 215 218 Young W. Lim. Permission is granted to coy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version ublished

More information

Signal Processing. Young Won Lim 2/20/18

Signal Processing. Young Won Lim 2/20/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Implication (6A) Young Won Lim 3/12/18

Implication (6A) Young Won Lim 3/12/18 (6A) Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

6.1 Reciprocal, Quotient, and Pythagorean Identities.notebook. Chapter 6: Trigonometric Identities

6.1 Reciprocal, Quotient, and Pythagorean Identities.notebook. Chapter 6: Trigonometric Identities Chapter 6: Trigonometric Identities 1 Chapter 6 Complete the following table: 6.1 Reciprocal, Quotient, and Pythagorean Identities Pages 290 298 6.3 Proving Identities Pages 309 315 Measure of

More information

Signal Processing. Young Won Lim 2/22/18

Signal Processing. Young Won Lim 2/22/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Surface Integrals (6A)

Surface Integrals (6A) urface Integrals (6A) urface Integral tokes' Theorem Copright (c) 2012 Young W. Lim. Permission is granted to cop, distribute and/or modif this document under the terms of the GNU Free Documentation License,

More information

CMOS Inverter. Young Won Lim 3/31/16

CMOS Inverter. Young Won Lim 3/31/16 CMO Inverter Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the NU Free ocumentation License, Version 1.2 or any later version

More information