Ultimate Lateral Resolution in Electron Microscopy by FE-Auger

Size: px
Start display at page:

Download "Ultimate Lateral Resolution in Electron Microscopy by FE-Auger"

Transcription

1 Ultimate Lateral Resolution in Electron Microscopy by FE-Auger P. Bouckenooge, H. Terryn, I. Vandendael Research Group of Electrochemical and Surface Engineering (SURF), Vrije Universiteit Brussel (VUB), Pleinlaan 2, 1050 Brussels, Belgium The interest in nanomaterials and -technology continues to grow in recent scientific developments. This leads to an increased need of analysis techniques able to unravel the surface chemistry at a 3D nanometre resolution. The only commercially available technique providing access hereto is Field Emission - Auger Electron Spectroscopy (FE-AES). The basic principles of the FE-AES technique are explained in this chapter, as well as the limitations of the technique. Also a brief comparison with other well-known surface analysis techniques is provided. The next section describes the different parts of a FE-AES instrument linked to the 3 most common commercial instruments. The challenges when analysing poorly conductive samples or insulators with FE-AES are discussed. Finally, the main strength of FE-AES is illustrated with an overview of several examples of the most powerful application of the technique, namely the 2D highresolution mappings of chemical elements. Keywords: FE-AES surface analysis, nanometre resolution, insulators, elemental mapping 1. Introduction A lot of modern day scientific developments and improvements find their origin in superior material selection compared to their preceding equivalents. For the majority of cases, the more appropriate material selection is enabled by a better handling, processing and understanding of the used materials. The surface characteristics are of key importance for many materials and their applications. Several physical and chemical properties can be altered by adjusting the surface of the material. Some important examples are: the scratch resistance of the surface; the colour of an object; the possibility to use a material as a catalyst via a larger contact area; the reactivity of solids for chemical processing and their inactivity as protection against corrosion. All the previous applications are governed by the characteristics of the first few micrometres of the material. Whenever the material s chemical properties play an essential role, only the first few atomic layers will define its behaviour since only the surface atoms will primarily interact with the environment. To characterise these top layers, instruments with an analysing depth of a few nanometres are required. This excellent depth resolution is provided by techniques such as: Time-of-flight Secondary Ion Mass Spectrometry (ToF-SIMS), X- ray Photoelectron Spectroscopy (XPS) and Auger Electron Spectroscopy (AES). When looking at the upper atomic layers of a material, inhomogeneities in the lateral chemical distribution are not uncommon. To analyse these lateral distributions in the top surface layer a technique is required which combines an excellent depth and lateral resolutions, both of them in the nanometre range. Figure 1 schematically orients the most common surface analysis techniques by their depth of analysis and lateral resolution. From Fig. 1 it is obvious that a nanometre depth of analysis is not obtained by techniques like Raman spectroscopy (Raman), Energy dispersive X-ray spectroscopy (EDX) and Fourier Transformed Infrared Spectroscopy (FTIR/ nano-ftir), which all have a depth of analysis in the micrometre range. Also, transmission electron microscope - electron energy loss spectroscopy (TEM- EELS) is not capable of measuring a material s top surface as it is designed to measure through sub-micrometre thick samples. As stated earlier, nanometre range of analysis depth can be obtained by ToF-SIMS, XPS and AES. However, Fig. 1 also illustrates that techniques like ToF-SIMS and XPS do not offer the necessary lateral resolution to characterise the previously described inhomogeneities. The only common surface analysis technique which combines both nanometre scale lateral resolution and analysis depth is Auger electron spectroscopy (AES) combined with a field emission electron gun (FE). This nanometre resolution makes FE-AES also an ideal technique to analyse nanomaterials and particles, which are of growing interest for modern day research and applications. The required depth of analysis is obtained via the Auger effect, which is a three-electron effect and will only emit a detectable Auger electron from the atoms in the first few nanometres of the surface. Auger transitions taking place in atoms in deeper surface regions will lose their energy when trying to escape the material and will not be detected as Auger electrons. As the initiating beam in AES is an electron beam several other transitions will occur as schematically represented in Fig. 2. The scheme represents the reaction volume of an incoming primary electron beam and gives the escape region of the possible transitions. This figure clearly shows the limited escape depth of the Auger electrons and additionally, the micrometre deep escape depth of the characteristic X-rays which are used in EDX analysis. The supreme lateral resolution is the consequence of the use of electrons as a primary beam. Electrons are much easier to direct on a narrow surface than ions, used in ToF-SIMS, or X-rays, used in XPS. By the use of a field emission electron gun the primary electron beam can be focused in a (sub)nanometre spot size. The name of the Auger effect finds its origin with the French scientist Pierre Auger ( ). During his PhD thesis at the Jean Perrin laboratory in Sorbonne, Auger wanted to visualise the photoexcitation process by using a self- 640

2 developed cloud chamber. His idea was to produce a photoelectron which would lead to the emission of a radiation quantum. This quantum was expected to be absorbed by another, nearby gas element, which would on his turn lead to the emission of a new photoelectron. Practically Auger expected, by exciting the K level of an Ar atom, the emission of an Ar Kα radiation. This Kα radiation then would be absorbed by the L levels of an adjacent Ar atom. By the use of his cloud chamber Auger assumed he would be able to visualise the radiation track trough the chamber. However, during his experiment he observed small tracks starting from the same position as the initial photoelectron. Initially Auger assumed this was to be ascribed to the reabsorption of the photon by the initial atom (1923). Only later he apprehended that the observed extra electrons were originated from a non-radiative transition (1926). This electron transition would later be called the Auger process [1]. However in some references the discovery of the Auger effect is assigned to Lise Meitner. This idea originates from an article by R. Sietmann in 1988 [2]. In 2009 O.H. Duparc wanted to solve the Fig. 1 Schematic representation of the most common surface analysis techniques, situated by their best performance concerning lateral resolution and depth of analysis. The zones in this figure do not represent the full measurement range of the techniques but indicate the best resolution feasibilities in current commercial systems. Fig. 2 Illustration of the interaction volume of an incoming electron beam on the surface of a sample. The different resulting emissions are indicated as are their relative escape depths. Only the Auger electrons escape exclusively from the outmost atomic layers. dispute and did a more in-depth research [3]. In his article Duparc acknowledged Meitner as the first one to write down a proposal of double electron emission from the same atom, caused by the ejection of a first near nuclei electron, followed by emission of Kα radiation which can potentially cause the ejection of an electron in a higher scale (L, M or N). This recognition was based on articles from Meitner during Meitner developed this idea to explain the β-ray behaviour she observed in her experiments. Duparc concluded that: Auger and Meitner had the same initial idea although with different purposes. While Auger wanted to investigate the behaviour of the photoexcitation of atoms, Meitner wanted to focus on beta-radiation and therefore putted less stress on further research concerning the specific topic. Something Pierre Auger did do. Due to this, Duparc appointed the naming of the Auger electron effect as valid. 2. The concept of AES 2.1 Principles of Auger Electron Spectroscopy As stated in the introduction, the Auger effect is a three-electron process. A graphical representation is given in Fig. 3. The initiation of the process starts by the ejection of a core level electron by an incident beam. In the case of FE-AES this incident beam is an electron beam (or backscattered electron) of an energy high enough to overcome the binding energy of this core electron. The energy is usually fixed between 5 to 25 kv. The ejected electron will leave a hole at the core level. In Fig. 3 this hole is located at the K level. Due to this unfavourable energy state an electron of a higher shell will fill up this hole, resulting in a more favourable energy state. In the example of Fig. 3 the electron drops down from the L 2,3 level to fill the hole at the K level. However the binding energy of the electron located at the higher shell is lower than the energy gained from filling up the core level hole. As a result an excess of energy is created. This energy surplus can then be used by the atom to emit an electron of either the same or a more shallow level. This emitted electron is called an Auger electron and is the result of the interaction of the ejected and relaxation electrons. In the scheme this Auger electron comes from the same L 2,3 energy level as the relaxation electron. The three electrons involved will determine the notation of the emitted Auger electron. The notation is always a threefold letter combination indicating the original energy level of the involved electrons. These letters correspond with the X-ray notation for the principal quantum number, namely: K, L and M for the first three quantum levels. Starting 641

3 from the second level L, subscripts can be added to indicate the orbital angular momentum and the electron spin of the electron. Table 1 represents the typical nomenclature used in AES to indicate the various energy levels. In some cases the energy levels differ so little in energy it is impossible to distinguish them from each other. In these cases a double subscript is used. For example L 2,3 or M 4,5. In some cases the emitted electron originates from the valence band. In these situations the energy level is often represented by V. For example the Ag M 4,5 L 4,5 L 4,5 transition is a MVV transition. Not all combinations of the subscripts are possible as are the combinations of electrons of any energy level. These impossible combinations are called forbidden transitions. A more detailed description of the terminology can be found in [1]. The distinction between the different Auger electrons by the terminology is important, as the history of the electron transition preceding the electron emission will determine the kinetic energy of this Auger electron. The kinetic energy E ABC of the ABC Auger transition in an atom with atomic number Z will be given by Eq. (1). E Z E Z E Z E Z ( 1 ) where E A is the binding energy of the initial expelled electron during ionisation, E B the binding energy of the electron providing the relaxation and E C the binding energy of the emitted Auger electron. However this value is not exact as the equation neglects the influence of the missing electron after ionisation on the binding energy of the relaxation electron and the Auger electron. Also the chemical environment will influence the binding energies which results in changes of the kinetic energy up to a few ev. In case of the example shown in Fig. 3 the kinetic energy of the Auger electron should be calculated as: E K L 2,3 L2,3 = E K E L2,3 E L2,3. Equation ( 1 ) also indicates that the kinetic energy of the Auger electron is independent of the primary beam, if its energy is high enough to eject the core level electron. This independence reduces the necessity of using a primary beam of a very precise energy, which is necessary in XPS to avoid peak broadening. However a good precision of the acceleration voltage of the incoming electron beam is still preferable to limit beam broadening effects in the lens system in the column. A precise electron beam will result in a good lateral resolution, which is of key importance in FE-AES. As the Auger effect is independent of the used primary beam, Auger electrons will always be emitted when an atom is ionised. Which explains why Auger peaks are also observed in XPS spectra. Due to the three electron process any element with Z 3, if in the proper conditions, can eject an Auger electron. As more electrons are present in the elements with a higher atomic number, various Auger processes can arise, resulting in the emission of electrons of different kinetic energies. This combination of different energies of the Auger electrons is unique for every element. The majority of these energies are situated between 30 and 2000 ev. The elements unique spectra are obtained by counting the emitted electrons as a function of their kinetic energy. Figure 4 shows the unique spectra of a γ-iron nitrate surface obtained on a JAMP-9500F setup. It shows peaks at 590, 710 and 770 ev which correspond to the Fe LMM transitions. Also the O KLL (500 ev), N KLL (375 ev) and the C KLL peaks (260 ev) are observed. Table 1 notation of the electron energy level as function of the quantum numbers as used in the AES peak terminology Quantum number Notation n l j 1 0 1/2 K 2 0 1/2 L /2 L /2 L /2 M /2 M /2 M /2 M /2 M 5 Figure 2 already revealed the possibility of a material to emit several different types of electrons after irradiation by a primary electron beam. As the detector is not able to differentiate between these different types of electrons, all of them will be counted and plotted in the spectrum. The secondary and backscattered electrons will be the most present and are responsible for the background. Typically this background has a very high intensity in comparison to the Auger electron peaks, which can be linked to the reaction volume as shown in Fig. 2. Both the secondary and the backscattered electron backgrounds have a peak intensity at certain energy values as is represented in Fig. 5. The secondary electrons show a higher intensity close to 0 ev, but will be non-existing at 0 ev. The backscattered electrons have an intensity peak at the energy value corresponding to the acceleration potential of the primary electron beam. To prevent saturation of the detector, the measured kinetic energy range is selected in between these intense peaks. Though in some cases the high intensity region near the acceleration potential is used to perform EELS analysis. The selection of the kinetic energy range and the behaviour of the background can also be observed in Fig

4 Fig. 3 Schematic representation of the three electron process. The incoming electron beam ejects a K level electron leaving a hole and the element in an excited state. The atom will relax by the transition of a L 2,3 level electron to the hole. The excess energy gained from the relaxation will result in the emission of a KL 2,3 L 2,3 Auger electron. Fig. 4 Electron intensity spectrum as a function of the kinetic energy, measured by a JAMP-9500F setup on a γ- iron nitrate surface. Showing the Fe LLM peaks between ev. [55] 2.2 The AES spectra Surface analysis The penetration depth of a primary electron beam, used in AES, has a typical range of a few micrometres. Over the full length of this penetration volume, Auger electrons are emitted as are secondary and backscattered electrons. However, all these electrons can only travel a limited distance before being inelastically scattered. This distance is called the elastic mean free path. In the case of Auger electrons this is only a few nanometres in size. A likewise elastic mean free path is obtained by the photoelectrons in XPS. The analysis depth or characteristic depth from where the inelastically scattered Auger electrons are emitted, depends on the material and the angle of detection compared to the surface normal. In the case of large angles, the characteristic depth is limited to a few monolayers. Auger electrons of a higher kinetic energy have a larger attenuation length though they are still limited to a nanometre scale. This allows AES to perform chemical analysis on the first few atomic layers, which are of key importance in several chemical processes. In this sense, an electron spectrum obtained via AES gives chemical information of the top nm surface of a sample. Additional to the nanometre analysis depth, a nanometre lateral resolution is achieved in FE-AES. As stated before, this extreme resolution can be accomplished by the use of an electron beam as primary radiation. Due to the nanometre focusing of an electron beam via a field emission gun and the small attenuation length of the Auger electrons, the FE- AES possesses a unique analysis resolution in the three dimensions. Fig. 5 Illustration of the electron intensity spectra between 0 ev and the incident electron energy E p. Both the secondary and backscattered electron backgrounds are represented as are there respectively peak intensities. This illustration was provided by JEOL. Fig. 6 Differentiated electron intensity spectrum as a function of the kinetic energy, measured by a JAMP-9500F setup on a γ- iron nitrate surface. Showing the Fe LLM peaks between ev. This spectrum is the differentiated form of Fig. 4. [55] Qualitative analysis By qualitative analysis the elemental assembly of a sample is determined. For this purpose a wide energy scan spectrum is recorded. From the Auger peaks present in this spectrum, elements can be identified by the unique energy of their 643

5 Auger electrons. With the previous generation of electron detectors, the identification of the Auger electron peaks in the direct electron intensity spectra was much more difficult due to the lower sensitivity and energy resolution of the detectors. To tackle this difficulty a lot of the qualitative analysis were performed on the differentiated intensity spectra. These differentiated spectra had the advantage of filtering out the background and enhancing the peaks, making identification easier. An example of a differentiated spectrum is given in Fig. 6, which is the differentiated spectrum of the one given in Fig. 4. Although it is no longer required due to the new detectors, some scientists developed the habit in using the differentiated spectra. Intuitively it is clear that in both the direct and differentiated spectra the Auger peak will be more intense as the element is more present. Although it is not a direct representation of the element s concentration if comparing different peaks in the same spectrum, see section Next to insufficient intensity of a peak, another problem can occur during qualitative analysis, being peak overlap. This overlap can be either with other elements present in the sample or with the high intensity peak of the secondary electrons at low kinetic energies. The origin of peak overlap can be appointed to the width of the Auger peak which is much broader than the photoelectron peaks observed by XPS. The origin of these wider peaks will be discussed in section An example of peak overlap can be found in [4] where the peaks of Cu 2 O in a differentiated spectrum overlap with peaks of ZnO. A solution to this problem is obtained via peak deconvolution, which is further explained in section Quantitative analysis Quantitative analysis using AES is more difficult and mostly obtained using several small energy range spectra of the different peaks observed in the wide scan spectra. These small range spectra or split scans, have the advantage of an increased signal-to-noise ratio and better energy resolution. This can be accomplished by increasing the measuring time on the interesting energy regions while the total measuring time of all split scans is similar to a wide scan process time. Concentration determination of an element on a single split scan is nearly impossible due to the influence of several variables linked via complex systems. Some of these variables are: the incoming electron beam, the detector efficiency, the attenuation length, chemical environment, probability of transmission and several others [1]. By default, the molar fraction of an element A is determined by the ratio of the amount of moles of this element, to the total amount of moles present in the analysed sample. To obtain quantitative analysis on spectra data this ratio could be rewritten as equation (2). = = where N is the number of moles, I the intensity area of the peak observed in the spectrum and S is the relative sensitivity factor. In principle the sensitivity factor of a peak is an indication how easily the Auger electrons of the corresponding transition can reach the detector from within the sample surface. In a sense it predicts how easily a certain element/transition can be observed in a spectrum. Sensitivity factors are obtained from pure elemental standards and are tabulated relatively to a specific peak, like Cu LMM, and electron beam potential [1]. Additionally to the beam voltage, other instrumentation characteristics like the detector will influence the sensitivity factor. This is why instruments will have their own library obtained by measuring reference samples in the specific setup. However, in almost every case, a sample does not consist of pure elemental regions but rather of a mixture. This will result in matrix effects influencing the sensitivity factors. The only way around this is by using known standards, whose chemical structure is almost identical to the examined sample. By recalculating sensitivity factors from these appropriate standards the matrix effects will be included in the concentration determination. However in extreme heterogeneous samples the sensitivity factors will depend on the analysed position. Also the element ionisation by backscattered electrons causes changes in the sensitivity factors. In AES an additional problem is the determination of the peak intensities. Due to the high background and low signal-to-noise ratio in the AES spectrum, background subtraction is not straightforward leaving a lot of room for miscalculations. Furthermore, the peaks will often show shoulders on the low kinetic energy side due to inelastic losses of the Auger electrons energy when escaping the sample, making the peak surface determination even more challenging. In the case of the differentiated spectra the peak-to-peak height is used to determine the peak intensity. Due to the previously explained problems it is nearly impossible to obtain quantitative data from AES spectra without good standards. Semi-quantitative analysis can be obtained by comparing similar samples and analyse differences in intensities of a specific peak. As described before, peak overlap poses a problem for qualitative analysis. A solution for this problem can be provided via quantitative analysis using peak deconvolution. When the peaks of an element A, overlap with some peaks of an element B, the idea is to find in the AES spectrum a peak of element B not overlapping with any other element. This peak is then used to guess the amount of B present based on a pure elemental standard. A new spectrum is calculated as if the sample would be build up by the determined amount of B. The calculated spectrum is then subtracted from the original measured spectrum. In the resulting spectrum only the peaks representing element A would be left, from which its concentration versus a standard can be calculated. A practical example can be found in [4] and Fig. 7. Eutis et al. grew ZnO nanowires on a Cu TEM grid via chemical vapour deposition. Due to the nanometre radius of the wire AES was the most suitable technique to determine the chemical composition alongside the wire (Fig. ( 2 ) 644

6 7b). Via AES it was observed that Cu on top of the tip facilitates the growth and works as dopant along the wire, while the Zn concertation increases towards the base. However due to peak overlap, it is impossible to directly determine the Cu concentration from the AES spectra. An AES spectrum is given in Fig. 7a where the peaks of Cu 2 O overlap with some peaks of ZnO. From the free Zn LMM peak at 989 ev the ZnO concentration is determined (Fig. 7c) and subtracted from the spectrum, leaving the Cu 2 O peaks in the spectrum. From the resulting spectrum the Cu concentration is determined via the Cu LMM peak at 922 ev (Fig. 7d) Chemical shift Next to element identification and possible quantitative analysis another form of information can be obtained from the AES spectra. As previously described, the kinetic energy of the emitted Auger electron also depends on the chemical environment of the atom from which it originated. This change of kinetic energy can be observed in the AES spectrum by a shift of the peak position on the energy axis. This phenomena is called the chemical shift and is best known in XPS analysis. In AES determining the chemical composition of a sample is much harder due to the lack of references, the lower signal-to- noise ratio and the broader peaks, making the energy shift less noticeable. In some cases the shape of the peak already gives some information, like the existence of multiple peaks or the presence of shoulders on them. In these cases the first idea of the chemical composition is obtained via the comparison of line shapes. Some practical examples can be found in: [5]-[7]. Zhang et al. [5] tested the enhancement of the thermal conductivity of a Cu matrix composite reinforced with Ti coated diamond particles. To analyse the Ti-diamond particles a depth profile was performed using a PHI 700 setup. From the results it could be concluded that the surface of the particles was build up by Ti-C bounds while deeper in the surface the Ti would disappear and pure diamond would remain. This is observed by the changing of the C peak position and line shape. In [6] the chemical composition of a LiSrBO 3+ phosphor sample and the influence of UV and electron radiation is analysed via an AES spectrum obtained with a PHI 700 with a spot diameter of 50 nm and an electron beam of 5 kev and 10 na. From the AES split spectra a 9 ev shift is observed from the pure B KLL peak. From this peak shift and the rising of other peaks it was observed that the B in this specimen is present in an oxidised state. Gustus et al. [7] used a NanoSAM Lab setup at 5 kev and 1 na to analyse amorphous Si 2 C and its thermal stability. By comparing the Si and C peak shape the distinction between Si-Si and Si-C bounds could be made. An example of the chemical shift and line change of the Al KLL peak is presented in Fig. 8. The pure Al shows a Fig. 7 (a) The AES spectra of a ZnO precursor and Cu 2 O TEM grid (5 kv, 2 na). From the overlay it can be observed that several peaks overlap and peak deconvolution is required. (b) SEM image showing the ZnO nanowire along which the AES analysis was obtained. (c) The AES spectrum of the ZnO precursor and the recalculated ZnO spectrum from the nanowire, normalised on the Zn LMM peak at 989 ev. (d) The ZnO nanowire spectrum stripped from the calculated ZnO content (see c). The resulting spectrum is normalised versus an oxidised Cu TEM grid to determine the Cu quantity. The features at 985 ev and 1008 ev are ascribed to the chemical difference between the ZnO of the precursor and ZnO of the nanowire. [4] Reprinted with permission from (Eustis S. et al. Analysis of copper incorporation into zinc oxide nanowires. ACS Nano. 2008;2(2) ) Copyright (2008) American Chemical Society. Fig. 8 Split scan of the Al KLL peaks of a natural aluminium oxide (grey line) and a pure Al sample (black line) obtained on a JAMP-9500F using a 10 kv and 10 na electron beam at an angle of incidence of 60. The peak indicated by Al³ + is the original metallic aluminium peak (Al 0 ) which is shifted due to the chemical environment (oxidised state). The Al 0 peak observed in the oxidised spectrum is the pure aluminium measured underneath the natural oxide layer. The peaks BP 1 and BP² are bulk plasmon peaks. Adapted from [41] 645

7 single peak while in the oxide form a double peak is detected. The double peak is the shifted peak of the pure Al peak due to the chemical environment and the pure Al peak resulting from the metallic aluminium underneath the natural oxide layer. 2.3 Limitations of AES In the previous sections several of the limitations and problems that may occur during AES analysis were mentioned. One of the main problems in AES is the low signal-to-noise ratio and the large background. This is often due to the drop of sensitivity when the sample contains several elements in the analysed volume. Additional to this lower sensitivity, the emission of Auger electrons is always in competition with other ways of relaxation and electron interactions which result in a lower Auger electron emission yield. Another problem linked to the AES analysis is the typical broad peaks observed in the spectra, which can lead to peak overlap making qualitative and quantitative analysis very difficult. Earlier it was already explained that some energy levels in the atom s electron configuration are so similar that they cannot be distinguished leading to broader peaks. Furthermore the three electrons involved in the Auger effect can have different end states resulting in even more peak broadening. Small energy losses of the Auger electron can occur when the electron leaves the sample resulting in a less steep peak slope at lower energy sides. A better known version of these energy losses results in plasmon loss peaks. These loss peaks are created by the interaction of the Auger electron and free electrons of a conductive material. During those interactions the Auger electron will lose a specific amount of energy, resulting in small peaks in the spectrum superimposed on the low energy slope of the primary Auger peak. These peaks often occur in series and have a fixed separation distance on the energy axis. These loss energies will differ depending on the surrounding elements, increasing the difficulty to analyse heterogeneous samples. An example of plasmon loss peaks in a spectrum can be seen in Fig. 8. Depth profiles are a popular application of AES analysis. However some remarks need to be made. A depth profile is obtained by sequentially analysing the surface with AES and sputtering this top layer away with Ar + etching. The acceleration potential of the Ar ions determines the sputter rate. By default this sputter rate is calibrated on a SiO 2 reference sample. However, the sputtering rate is depended on the chemical composition of the sample. Some reference lists can be found comparing the sputter rate in SiO 2 to the sputter rate in other materials [8]. Though, in heterogeneous samples the problem is still present. In this case, correct analysis is complicated by possible preferential sputtering of some elements. So without extensive measurements it is impossible to have a correct depth profile distance. AES is an ideal method for analysing conductive surfaces, however a lot of the modern materials consist of non- or semi-conductive surface zones. This poses a problem for AES analysis as it may cause charging of the sample. Results of this charging may be the shifting of peak positions in the electron spectrum, defocusing or drifting of the primary beam and even damaging of the sample. An example of such beam damage could already be seen in Fig. 7b, where the SEM image clearly shows a dark region where the AES linescan was performed. Section 4 will provide a deeper discussion on measuring non-conductive samples with AES. Next to non-conductive samples, also rough surfaces are difficult for AES analysis. The main problem is the elastic and inelastic scattering of different electrons, which increases with the roughness, and disturbs the electron background intensity. Furthermore these increased scattering effects will deform the interaction volume which can be element depending. Additionally shadowing effects can alter the outcome of the analysis. Another sample related problem is the relatively low amounts of libraries, standards and references present for multiple element samples. Due to the difficulty of quantitative analysis, these are really necessary but are seldom available. This is a limitation of AES compared to XPS which has a more extensive reference database. Furthermore, the detection of Auger peaks with low kinetic energies can be complicated. This can be due to the presence of the secondary electron peak, which increases drastically toward lower energies, or even to the overlap of several peaks as the majority of the elements have at least one Auger peak situated below a kinetic energy of 100 ev. As the AES has a depth of analysis of a few nanometres, it is very sensitive to surface contamination. To obtain useful data via AES, good sample treatment is a necessity. The last drawback of the AES system is the necessity to measure in ultra-high vacuum, making in-situ AES analysis currently impossible. The reason to work under these circumstances is twofold. First of all, the presence of gas particles in the chamber would block the Auger electrons from reaching the detector via inelastic scattering. As a result, the efficiency of the system could be lowered drastically. The second reason can be found in the formation of contamination layers at the surface, for example the oxidation of the sample surface due to interaction with the oxygen in the atmosphere. As explained above, this kind of contamination alters the measurement data significantly. Due to the requirement to work under UHV conditions, specialised instruments are necessary. 3. Instrumentation This paragraph provides a short description of the different parts of the FE-AES setup, linked to the systems presently regarded as the best (lateral resolution wise) available. These systems are the Physical electronics PHI 710 [9]-[12], the 646

8 JEOL JAMP-9510F [13]-[15] and the Scienta Omicron NanoSAM Lab [16]-[19]. More detailed descriptions of the different parts of a general setup (gun, detector, vacuum chamber) are described in more detail elsewhere [1],[20]. The vacuum chamber is still an important component of the AES setup for the 2 reasons explained in the previous paragraph. In the three commercial systems the chamber is built to operate at UHV. The electron gun is the component determining the final lateral resolution of equipment and so it is an essential part of the setup. The gun is used as primary beam emitter for both the AES and the build-in SEM system. The three previously named systems are equipped with a Schottky field emission gun to obtain a nanometre size lateral resolution. The Schottky emitter is a tungsten <100> single crystal, with a sharp point tip smaller than 100 nm. The base of the crystal is coated with a zirconium oxide to lower the work function. The tip of the crystal can be heated up to 1800 K to emit the electrons. These electrons are then accelerated by applying a potential field over the cathodic tip and an anode at a distance. By using different lens mechanisms the electron beam can be focussed to a nanometre resolution. In the case of the PHI 710 the electron gun has a primary beam potential range from 1 to 25 kv. Using a 25 kv and 0.2 na electron beam a SEM image resolution below 3 nm can be obtained. When using a 20 kv, 1 na primary electron beam, the setup is able to obtain an Auger spatial resolution of less than 8 nm (Fig 10). The JAMP-9510F setup has a primary electron acceleration voltage range of 0.5 to 30 kv and allows a variable probe current between to 10-7 A. The lateral resolution obtained with the build-in SEM equipment reaches down to 3 nm, for a probe potential of 25 kv and a current of 0.01 na. An Auger spatial resolution of 8 nm can be obtained when using an electron beam of 25 kv and 1 na (Fig. 14). The NanoSAM Lab, developed by Scienta Omicron, has a Zeiss-Gemini column with a Schottky field emission electron gun. The energy range of this electron gun is between 0.1 and 30 kv. This column is different from the previously described electron guns as it increases its focus precision by using the beam booster concept. The idea behind the beam booster concept works by letting the electrons leave the field emitter at an acceleration potential 8 kev higher than the selected potential. This will reduce the beam broadening effects through the lens systems in the column. In the last section of the electron gun the electrons are deaccelerated to the initial required acceleration voltage. By this higher acceleration potential, the electron beam is easier to focus and can reach a lateral resolution in the SEM mode below 2 nm when using a 20 kev beam and an Auger lateral resolution of 5 nm. The beam current can vary between and A. An in-depth analysis of the Schottky field emission gun can be found in [21]. Another key component of the FE-AES system is the electron detector. Two types of detectors are used in AES, the cylindrical mirror analyser (CMA) and the concentric hemispherical analyser (CHA). The CMA analyser consists of two concentric cylinders aligned with the electron beam. By applying a potential difference over the cylinders only electrons with a specific kinetic energy are detected. By ramping the potential difference, within a certain range, a spectrum can be obtained. The main advantage of this type of detector is the 360 detection around the electron gun, resulting in a high sensitivity. Typically the CMA has a fixed energy resolution which is predetermined by geometrical features of the analyser. This energy resolution can be improved by changing detector features during production. However this will result in a lower transmission and a lower sensitivity. The CHA consists of two concentric hemispheres which operate with a potential difference between them. Like the CMA, the potential difference determines which electrons are detected and the AES spectrum is obtained by ramping the potential. In contrary to the CMA the CHA is not aligned with the electron gun but is placed at an angle with respect to the column. The vacuum chamber and the analyser are connected with an input lens. This input lens plays a crucial role in the use of the CHA. The strong point of this detector is the supreme energy resolution and operation flexibility compared to the CMA, which is made possible by the input lens. However with both detector types a trade-off needs to be made between energy resolution and sensitivity. Due to the more limited acceptance angle of the CHA the sensitivity is weaker. This lower sensitivity is tackled by tilting the sample, which increases the amount of electrons reaching the detector. However, an additional problem with the use of a CHA can be shadowing effects due to the misalignment of the electron source and detector. In Fig. 9 an example of such a shadowing effect is shown. In the not coaxial geometry (Fig. 9d) the shadowing effect is clearly visible by the incomplete spheres shown in the Auger map. This effect is not visible in the map recorded with a CMA, as shown in Fig. 9b. This shadowing effect can have big influences when measuring rough surfaces. Modern equipment have multi-channel detectors. They can simultaneously detect electrons with different energies (within a certain range) based on the different trajectories of these electron in the analyser. The main reason to use multi-channel detectors is the significant reduction of the measuring time. It can also be used to increase the sensitivity or the energy resolution. The CMA is only used in the PHI 710 setup; the JAMP-9510F and the NanoSAM Lab both use a CHA detector. a b c d Fig. 9 SEM images (a,c) and corresponding AES mappings (b,d) of Ni spheres on an In surface. Obtained via a CMA (b) and CHA (d) equipped instrument. Pictures provided by Physical Electronics. 647

9 Due to the diversity of specimen types, sample manipulation is important in modern equipment. All three commercial systems possess a 5-axis automated sample stage with a tilt option to at least 60. The standard sample size of the NanoSAM Lab is a 1 cm diameter sample; 2 cm for the JAMP-9510F, while the standard holder of the PHI 710 is capable of measuring samples with a diameter up to 2.5 cm. However, for all equipment additional holders are available to measure larger samples. The sample s surface can also be cleaned by using the floating Ar + ion gun. All three systems use an Ar + ion gun. The ion gun can accelerate the argon ions from a few ev to up to 4-5 kev and can also be used for charge neutralising and depth profiling. The previously named systems are presently the most popular, commercial setups for FE-AES. Other systems are available and are also currently used for scientific research. An example is the Multiscan Lab setup of Scienta Omicron, which is a basic UHV chamber with the option of adding different sources and detectors for analysis. Also older systems, which are no longer commercially available, are still used to obtain AES data for publications, for example the MICROLAB 350 of Thermo Scientific [22]. Predecessors of the previously discussed Physical Electronics and JEOL systems are also still used in research and publications. 4. The challenging FE-AES analysis of insulators 4.1 Problem of charging As previously stated, AES is ideal to analyse conductive surfaces. However a lot of today s materials are composites, semi-conductors or contain nonconductive surfaces. These materials are harder to analyse using AES as they are much more susceptible to charging than metals. Charging in AES is linked to the existing difference in penetration depth of the primary electron beam and the escape depth of Auger electrons. As the primary electrons go deeper than the Auger electrons escape depth, more emission reactions happen in the material to balance the energy, as could be observed from Fig. 2. Whenever there is an imbalance in the incoming and outgoing electrons the sample will charge. This charging can be shown as charge build up on the sample surface, sub surface and/or bulk. Eventually charging can change the properties of a sample, and even create changes in the sample composition. The charging is often the buildup of a charge near the sample surface which results in changing the electrical potential of the surface. If the potential is disturbed significantly enough it can alter the energy and intensity of the Auger electrons, change the location of the incident beam, locally modify the surface composition and lead to localised sample breakdown and arcing [23]. The problem of charging insulators was studied before by Siegfried Hofmann [24] and in much greater detail by Jacques Cazaux [25]-[30]. They appointed several reasons and consequences of charging phenomena often initiated by electron beam irradiation. Numerous possible adaptations are developed to tackle the charging problem in AES. And even though these different techniques and methods exist, there is no general solution to prevent charging in any sample. As a result some samples are just impossible to analyse using AES. In this section some of the more common techniques to analyse insulators via AES will be explained. A more detailed overview of analysing insulators is given in [23]. 4.2 Minimise sample charging by increasing the capacitance or reducing the sample resistance Reducing the sample resistivity is intuitively the most logical solution, although its implementation is not straightforward. A possible solution would be doping the sample with ions or other conductive materials to improve the general conductivity of the sample. However, this can alter the chemistry of the sample. Another possibility to increase the conductivity is to heat the sample during analysis. This technique will not work for all samples and is not always possible in commercial setups [28]. In some cases a higher beam current can result in heating up the sample, increasing the conductivity [31]. Reducing the conduction path through the insulator will significantly lower the sample potential and thus, charging. This can be obtained by thinning the sample. Via the smaller thickness of the sample the electrons (charges) can find a shorter way to a good conductive sample holder or bottom substrate and so reduce the chance of charging [22],[32],[33]. Next to thinning the sample, also fragments of it can be embedded in a good conductor or, if possible deposited as a thin layer on a conductive substrate. An example is shown in [34] where silica particles are embedded in a Cu-C TEM grid. Other practical examples can be found in [35],[36]. The conductive path can also be shortened by covering the sample surface with a good conductor. This can be done by applying a conductive coating [37] or, a more common variation, using a mask or grid covering the sample. In both cases the path towards the conductive coating can be made significantly shorter than the thickness of the sample. Besides reducing the conductive path, thinning the sample can also lead to the increase of the capacitance. If charging still occurred in the thinned sample, the increase of the capacitance will slow down the charging process making AES measurements still possible. However, additional measures will probably be necessary to prevent sample charging for longer irradiation times [23]. 648

10 4.3 Minimise sample charging by optimizing the total secondary electron emission ratio The total secondary electron emission ratio, the ratio of outgoing and incoming electrons σ, is an important parameter for the charging of samples. When this ratio is balanced out, i.e. when the amount of incoming and outgoing electrons are equal, no charging should occur. However in practice this ratio is almost never 1. When the surface potential is high enough, it can re-attract low energy secondary-electrons, lowering σ and so lowering the surface potential. Following this reasoning σ >1 is preferential [23]. During analysis the incident angle and beam energy have a huge influence on σ [28]. In general reducing the primary beam energy reduces the chance of charging. Though in the case of thin layers it is sometimes better to increase the potential. In this case the interaction volume of the primary electrons is bigger making it possible to connect to the underlying conductive surface. Also the incident angle will influence σ. In general working with more grazing incident angles result in σ >1, which is more preferable, though sample depending [1]. Also the sample history will influence σ, as do the experimental settings like vacuum pressure and the roughness of the sample. A good example is the existence of contamination on the sample by either carbon or even the natural oxide layer. The carbon contamination tends to lower the secondary electron yield to values below unity, enhancing the formation of a negative surface potential [24],[38]. Also the natural oxide layer on top of the sample will alter the secondary electron yield. This layer can be removed by sputtering. However, even at UHV in the analysis chamber, a new native oxide layer can be formed on the surface which will adapt the yield again. However the time to form this layer will be long enough to obtain Auger spectra. Charges can persist for months in the sample even when the surface potential is stabilised [23],[39]. After the analysis the influence of the charging can often be observed on a SEM image. In some cases these spots observed after analysis are due to the deposition of carbon on the surface [40]. In this case the observed phenomena is not addressed to charging although it will alter the σ near the edge. This phenomenon is an example of beam damage. Another form of beam damage is the oxygen depletion in the top surface due to irradiation [41],[42]. An example of this depletion is shown in figure 3 of [34], where black spots are formed on the silica particle in the oxygen map due to previous AES point measurement. 4.4 Controlling the sample current Lowering the beam current is a first and relatively easy step to lower the current in the sample [24]. Though this will result in a lower signal-to-noise ratio which could make the obtained data useless. An alternative is to defocus or raster the electron beam [26]. However, as indicated earlier, rastering can still cause charging or damaging of the sample [39]. Additionally defocusing will also result in lowering the lateral resolution of the system, which is one of the strengths of AES [43]. A more generally applied technique is to use low energy charged particles, shot at the sample. These can be photons or additional electrons, but ions are the most commonly used in the commercial AES equipment. These are obtained by allowing the ion-sputtering gun to operate at low acceleration energies (20 ev Ar + ions [44]) [22],[42]. The positive Ar ions recombine with the excess of negative electrons to form Ar gas and reduce the negative charge at the sample surface. The use of these low energy ions as neutralising tool becomes less effective if the charging occurs deeper in the sample. The influence of the Ar-ion gun on the AES spectra can be observed in figure 3 of [22]. Thanks to ion neutralising and tilting a SiAlON sample a usable spectrum is obtained. 5. Exploiting the strengths of FE-AES in mapping mode 5.1 SAM An Auger intensity map is built by measuring a spectrum in every pixel of a predetermined raster. The intensity of the tracked element is determined from the spectra and compared to the intensity at all different pixels. Using this fluctuation in peak intensity a map can be built, to indicate the concentration distribution of the tracked element over the analysed surface area. Thanks to the good lateral resolution of the FE-AES, additional to the small depth of analysis, a properly obtained mapping is an ideal way to analyse the elemental composition of a heterogeneous surface. Thanks to the simplicity of the final result, the use of mapping in AES is popular. Because of the use of rastering the system is also called scanning auger microscopy (SAM) and is implemented in every commercial setup available. The lateral resolution of the equipment is determined using high resolution mappings. This is illustrated in Fig. 10 for the PHI 710 system. Most commercial setups make it possible to obtain a combined depth profile and mapping. Intuitively this seems not that challenging, however this is a time-consuming analysis, which increases the possibilities for disturbing effects like charging and beam damage. 5.2 Intensity determination Obtaining a mapping is very time consuming. To obtain a common 256X256 pixels mapping, an Auger spectrum has to be acquired in more than points. The time necessary to record a full Auger spectrum with a good signal-to-noise 649

11 ratio, easily reaches a few minutes. Additionally, software should then be used to calculate the peak intensity in every spectrum for every wanted element. This kind of procedure is clearly not feasible for commercial use of an AES system. The alternative minimum requirement would be the calculation of the (Auger) electron intensity at 2 predefined energies: the peak energy and a near background energy. These energies can easily be obtained in a few tenths to a few hundreds milliseconds significantly reducing the process time. Though, as stated before, several processes can cause the peak intensity to shift to other energy levels or to even change the background counts [45]. These possible changes are susceptible to the position on the surface and to time. A solution was found with the introduction of multi-channel detectors in the commercial setups. Multi-channel detectors enable detecting electron intensities at several energies, which can be used to determine the electron intensity at multiple peak and background energies. Using these multichannel detectors to obtain intensities at multiple energies, problems like small peak shifts and broadening are tackled. From the obtained intensities of the peak and background, the intensity area of the peak can be determined (P-B). The value of this P-B is a direct indication of the concentration of the tracked element. Though it should be noted that the value of P-B is also topography dependent. To eliminate the influence of the topography, the P-B value should be determined relative to the background, so (P-B)/B or (P-B)/(P+B). A clear example is given in Fig. 11. Next to the SEM image the Auger intensity maps of Ge and Au are given for a Ge surface covered with gold depositions. From the Ge peak intensity map (Fig. 11a) the bright particles on the SEM image could be mistaken as Ge particles. Only after subtraction of the background (Fig. 11b), and a relative observation (Fig. 11c), it is revealed that the particles do not contain Ge. When observing the Au maps (Fig. 11d-f) a different conclusion can be formulated. Concerning the Auger intensity maps, a remark must be made. Whenever an intensity map is obtained, it is important to analyse the intensity at different points of the map, as the colour scheme of the obtained result can lead to wrong conclusions. The colour scale is by default determined between the minimal and maximal intensities values observed in the map (whether or not relatively to background). If for example, the difference between the minimal and maximal peak intensity is only a few hundred counts on a peak intensity of several ten thousand counts, the element is nearly homogeneously distributed although depending on the colour scale, this is not always observed in the map. The exact opposite can occur when extensively high or low intensities are measured due to defects like holes in the surface. In these cases the scale bar is expanded over such a large intensity range, heterogeneous surfaces may appear homogeneous on the first intensity map. Because of these effects it is important to always re-examine the obtained data. Fig. 10 High resolution map of a AlGaAs test strip acquired with a PHI 710 at 25 kv and 1 na. From the maps the 4nm line is observed, as is the separation between the 9 nm lines. The 7 nm lines are hard to see as double and 5 nm lines are observed as 1 single line [10]. Picture provided by Physical Electronics. Fig. 11 Left: SEM image of a heterogeneous Ge surface covered with Au deposition. Right: (a) is an Auger peak intensity map of the Ge 1145 ev peak obtained on the same area as the SEM image. (b) The near background map and (c) the (a-b)/(a+b) relative map. The maps (d) to (f) are the same procedure for the Au 2015 ev peak. The maps are obtained via a Scienta Omicron setup [56]. This figure was obtained from: Atomic Scale Interconnection Machines, Multi-Probe Characterization of 1D and 2D Nanostructures Assembled on Ge(001) Surface by Gold Atom Deposition and Annealing, Advances in Atom and Single Molecule Machines, 2012, , M.Wojtaszek et al., Springer-Verlag Berlin Heidelberg 2012, with permission of Springer. 5.3 Commercial applications The PHI 710 SAM provides 3 different ways of obtaining a mapping. The first 2 possibilities are using the multichannel detectors to analyse the intensities on either 4, or 6 energies. In both cases half of the energies are selected around the peak energy, the other intensities are measured at energies corresponding with background kinetic energies. The energy differences between the analysed energy values are predetermined. The third option is to measure a spectrum in a small energy range, covering the peak and near background energies corresponding with the tracked element. The software determines the element intensity, based on the measured spectra or intensities. The JAMP-9510F setup is also based on the multi-channel detectors where intensities at 7 different energies can be measured simultaneously. The energy gap between the measuring points is predetermined, although the value can vary 650

12 depending on different measuring methods, or can even be selected freely. However, changing these detection modes will influence the signal intensity and the energy resolution. After measuring, it is possible to readdress the energy values (as peak or background) or to expel them from the calculation. Although the NanoSAM Lab and the JAMP-9510F both have a hemispherical detector, measuring an elemental map is performed differently. In the NanoSAM Lab the intensity at the peak energy is measured separately from the intensity at the near background energy. The peak and background can each be measured by the 7 different channels of the detector. Similar to the other setups, the difference between the analysed energies is predetermined, but can be altered depending on the analysing conditions. Three different modes of operation are possible; frame wise, line wise and point wise. In a frame wise mapping the selected area will be scanned completely twice per element. First the peak intensity is measured in every pixel of the area and subsequently the near background energies are scanned. This mode permits the detector to measure the full area scan in a fixed setting, reducing the time significantly. The drawback to this method is the necessity to obtain two full area scans before any useful data can be produced, making it vulnerable for drifting. A second method is a line wise mapping. This mode is similar to the frame wise mode but the peak and background intensities are measured sequentially for every line. This increases the measuring time but results in a direct Auger intensity map. The third technique is a point wise mode where all peak and background intensities of every element are measured in a pixel before moving to the next. Of course this last mode is the most time-consuming but the least susceptible to drifting, if only looked at the Auger intensity in each pixel individually. 5.4 Applications of the unique FE-AES resolution As the lateral resolution of a FE-AES setup is determined via an Auger intensity map, this is the best way to illustrate how the unique resolution features of the AES system can make the difference. In this last section, mappings will be emphasized and additional practical examples of the lateral and depth resolution features will be given. The excellent lateral resolution of the PHI 710 system was already demonstrated in Fig. 10. Kim et al. [46] used it in the investigation of a new way to develop solar cells based on several nm thick organic coatings applied on an ITOglass substrate. To determine the difference between the p and n-doped region, an Auger mapping was recorded to localise sulphur, which is only present in the p-doped region. From the Auger map it was possible to determine a heterogeneous distribution of S. Measuring times were reduced to less than 3 hours in order to limit the beam damage A B Fig. 12 A) SEM image of a p/n doped organic coating on top of ITO-glass. B) Corresponding S Auger intensity map using a 3kV, 1nA primary electron beam obtained on a PHI 710 [46]. Reprinted from, Appl. Phys. Lett. 104, (2014), with the permission of AIP Publishing. Fig. 13 a) SEM image of monolayer MoS flakes deposited on a SiO 2 /Si substrate. The marked points 1 to 6 indicate positions of AES point measurements. b) Mo MNN map and c) S LVV map obtained from a NanoSAM lab setup [48]. This image was obtained from: Bao, W. et al. Visualizing nanoscale excitonic relaxation properties of disordered edges and grain boundaries in monolayer molybdenum disulfide. Nat. Commun. 6:7993 doi: /ncomms8993 (2015). organic samples can suffer from the electron beam. The SEM picture and S Auger map are given in Fig. 12. Due to the small size of the features, only equipment with a FE electron gun are able to detect this. When the thickness of the coatings are also limited, FE-AES is the ideal technique to investigate these samples. Also, in [34] the capability of the PHI 700 instrument is shown by mapping of nanometre size silica spheres on a TEM grid. In [47] a PHI 700 is used to analyse diamond nanotips coated with nitrogen. The nitrogen forms an amorphous region in the tip, which increases the field emission qualities of the tip and counters the effects of atomic defects in the outside tip region. AES depth profiling is used to analyse the chemical composition of the 100 to 200 nm wide tips in depth. Due to the dimensions of the tips no other technique could have been used to analyse the chemical changes in function of the depth. A first indication of the lateral resolution of the NanoSAM Lab is given in Fig. 11. After obtaining the relative Au and Ge map the gold nanoparticle could be distinguished. Also, the Ge richer zones, seen as the lighter grey zones in the SEM image, could be observed in the Ge mapping together with its rough edge. Figure 13 also illustrates the capabilities of the NanoSAM Lab setup. In Fig. 13 a) a SEM image is given of MoS flakes deposited via chemical vapour deposition on a SiO 2 /Si substrate to use as semi-conductors in nanoscale thin optoelectronic and catalytical applications [48]. The dots indicated on it, refer to point measurements obtained on the edges, grain boundaries and bulk of the monolayer flakes. Fig. 13b) and c) are the Auger intensity maps of respectively the Mo MNN and S LVV peaks. 651

13 From the sulphur map a depletion of sulphur can be observed at the grain boundaries. Due to the required combination of lateral and depth resolution, FE-AES is the ideal technique to investigate these flakes. Benson et al. [49] tried to apply gold nanoparticles on a carbon surface via flexographic printing for bio-sensing applications. Using NanoSAM Lab equipment mappings and points scans were performed. As a result a mean gold particle size below 60 nm was confirmed. Also the ZnO nanowires presented in Fig. 7 were analysed by the Scienta Omicreon SAM devise. Though the dimensions of the wire do not exclude the use of ToF-SIMS and EDX, the sample characteristics suggest the preferential use of FE-AES. Lahiri et al. [50] deposited GaSb nanoparticles (30-200nm) on a Cu substrate by electroless deposition from ionic liquids at room temperature. From FE-AES analysis it could be concluded that the Sb in the GaSb nanoparticles was present in a metallic state. Fig. 14 Auger mapping of Ag nanoparticles on a Si surface. Obtained at 30 kv and 2 na with a JAMP 9510 setup. First image is a SEM image (30 kv, 0.1 na) showing the nm size particles. The middle image show the Ag intensity mapping while the right picture shows the overlap mappings of Ag and Si. From this map, a less than 10 nm gap, can be distinguished between the Ag nanoparticles indicating the excellent lateral resolution. This image was provided by JEOL. da c B Fig. 15 SEM image (A) and Cu Auger intensity map (B) of an alkaline etched AA1050 aluminium covered with a Cu containing, Zr based conversion coating. The map was obtained by a JAMP 9500 setup using a 10 kv and 1 na electron beam. Both images show the Cu being precipitated in micrometre size groups build out of nanometre particles. [51] 500 nm 500 nm The excellent lateral resolution of the JEOL JAMP-9510F is illustrated in Fig. 14. The first figure shows a SEM image of silver nanoparticles on a Si wafer. The average particle size is nm. The top right particles can be clearly distinguished and the distance between them was measured to be no more than 10 nm, demonstrating the good spatial resolution. Figure 15 shows an Auger intensity map of Cu and its corresponding SEM image which were obtained on a JAMP-9500F [51]. Nanometre sized Cu particles are deposited on an alkaline etched AA1050 aluminium alloy. The Cu was mixed with a Zr-based conversion coating to enhance the Zr deposition on the alloy. Although the Cu was deposited in micrometre scale clusters, features of 100 nm and smaller could be detected in the Cu Auger map thanks to the extreme resolution properties of the FE-AES. In [52] block-copolymers are used to deposit metals from an aqueous solution in a fixed circuit. Au could be deposited on a Si surface with a sub 100 nm circuit width and Ag was able to cover the vacant Si surface. Via Auger mapping and linescans a good coverage of the Si was confirmed, as was full separation of the Ag and Au zones. The AES analysis was performed on a JAMP-9500 with an acceleration voltage of 25 kv and an emission current of 6 na. Chang et al. [53] deposited Ni-Cu nanopillars on an ITO surface via electrochemical deposition. Using the AES mappings obtained with a JAMP-9500, it was observed that the Cu was mainly concentrated in the centre of the pillar. Using selective anodic etching, the Cu was removed and hollow Ni nanopillars could be created. Kasada et al. [54] investigated the anisotropic fracture of ODS ferritic steel. By analysing the fracture surface with AES, Ti rich particles were discovered. These nm sized particles were described as the possible cause of the anisotropic failure of the steel. Acknowledgements The support by Kenichi Tsutsumi (JEOL) and Dennis Paul (Physical Electronics) by providing information and pictures used in this chapter, is gratefully acknowledged. Also Ad Ettema (Schienta Omicron) is acknowledged for providing additional information about the Omicron SAM system. References [1] Briggs D, Grant JT. Surface Analysis by Auger and X-Ray Photoelectron Spectroscopy. Charlton and Manchester IM publications and SurfaceSpectra Limited [2] Sietmann R. False Attribution - A female physicist s fate. phys Bull. 1988;316(39)

Auger Electron Spectrometry. EMSE-515 F. Ernst

Auger Electron Spectrometry. EMSE-515 F. Ernst Auger Electron Spectrometry EMSE-515 F. Ernst 1 Principle of AES electron or photon in, electron out radiation-less transition Auger electron electron energy properties of atom 2 Brief History of Auger

More information

Auger Electron Spectroscopy Overview

Auger Electron Spectroscopy Overview Auger Electron Spectroscopy Overview Also known as: AES, Auger, SAM 1 Auger Electron Spectroscopy E KLL = E K - E L - E L AES Spectra of Cu EdN(E)/dE Auger Electron E N(E) x 5 E KLL Cu MNN Cu LMM E f E

More information

Methods of surface analysis

Methods of surface analysis Methods of surface analysis Nanomaterials characterisation I RNDr. Věra Vodičková, PhD. Surface of solid matter: last monoatomic layer + absorbed monolayer physical properties are effected (crystal lattice

More information

An Introduction to Auger Electron Spectroscopy

An Introduction to Auger Electron Spectroscopy An Introduction to Auger Electron Spectroscopy Spyros Diplas MENA3100 SINTEF Materials & Chemistry, Department of Materials Physics & Centre of Materials Science and Nanotechnology, Department of Chemistry,

More information

Lecture 5. X-ray Photoemission Spectroscopy (XPS)

Lecture 5. X-ray Photoemission Spectroscopy (XPS) Lecture 5 X-ray Photoemission Spectroscopy (XPS) 5. Photoemission Spectroscopy (XPS) 5. Principles 5.2 Interpretation 5.3 Instrumentation 5.4 XPS vs UV Photoelectron Spectroscopy (UPS) 5.5 Auger Electron

More information

Advanced Lab Course. X-Ray Photoelectron Spectroscopy 1 INTRODUCTION 1 2 BASICS 1 3 EXPERIMENT Qualitative analysis Chemical Shifts 7

Advanced Lab Course. X-Ray Photoelectron Spectroscopy 1 INTRODUCTION 1 2 BASICS 1 3 EXPERIMENT Qualitative analysis Chemical Shifts 7 Advanced Lab Course X-Ray Photoelectron Spectroscopy M210 As of: 2015-04-01 Aim: Chemical analysis of surfaces. Content 1 INTRODUCTION 1 2 BASICS 1 3 EXPERIMENT 3 3.1 Qualitative analysis 6 3.2 Chemical

More information

5.8 Auger Electron Spectroscopy (AES)

5.8 Auger Electron Spectroscopy (AES) 5.8 Auger Electron Spectroscopy (AES) 5.8.1 The Auger Process X-ray and high energy electron bombardment of atom can create core hole Core hole will eventually decay via either (i) photon emission (x-ray

More information

Auger Electron Spectroscopy (AES) Prof. Paul K. Chu

Auger Electron Spectroscopy (AES) Prof. Paul K. Chu Auger Electron Spectroscopy (AES) Prof. Paul K. Chu Auger Electron Spectroscopy Introduction Principles Instrumentation Qualitative analysis Quantitative analysis Depth profiling Mapping Examples The Auger

More information

MSE 321 Structural Characterization

MSE 321 Structural Characterization Auger Spectroscopy Auger Electron Spectroscopy (AES) Scanning Auger Microscopy (SAM) Incident Electron Ejected Electron Auger Electron Initial State Intermediate State Final State Physical Electronics

More information

Chemical Analysis in TEM: XEDS, EELS and EFTEM. HRTEM PhD course Lecture 5

Chemical Analysis in TEM: XEDS, EELS and EFTEM. HRTEM PhD course Lecture 5 Chemical Analysis in TEM: XEDS, EELS and EFTEM HRTEM PhD course Lecture 5 1 Part IV Subject Chapter Prio x-ray spectrometry 32 1 Spectra and mapping 33 2 Qualitative XEDS 34 1 Quantitative XEDS 35.1-35.4

More information

XPS & Scanning Auger Principles & Examples

XPS & Scanning Auger Principles & Examples XPS & Scanning Auger Principles & Examples Shared Research Facilities Lunch Talk Contact info: dhu Pujari & Han Zuilhof Lab of rganic Chemistry Wageningen University E-mail: dharam.pujari@wur.nl Han.Zuilhof@wur.nl

More information

Electron Spectroscopy

Electron Spectroscopy Electron Spectroscopy Photoelectron spectroscopy is based upon a single photon in/electron out process. The energy of a photon is given by the Einstein relation : E = h ν where h - Planck constant ( 6.62

More information

Photoelectron spectroscopy Instrumentation. Nanomaterials characterization 2

Photoelectron spectroscopy Instrumentation. Nanomaterials characterization 2 Photoelectron spectroscopy Instrumentation Nanomaterials characterization 2 RNDr. Věra V Vodičkov ková,, PhD. Photoelectron Spectroscopy general scheme Impact of X-ray emitted from source to the sample

More information

Auger Electron Spectroscopy (AES)

Auger Electron Spectroscopy (AES) 1. Introduction Auger Electron Spectroscopy (AES) Silvia Natividad, Gabriel Gonzalez and Arena Holguin Auger Electron Spectroscopy (Auger spectroscopy or AES) was developed in the late 1960's, deriving

More information

Analysis of Insulator Samples with AES

Analysis of Insulator Samples with AES Analysis of Insulator Samples with AES Kenichi Tsutsumi, Nobuyuki Ikeo, Akihiro Tanaka, and Toyohiko Tazawa SA Business Unit, JEL Ltd. Introduction Auger Electron Spectroscopy (AES) makes it possible to

More information

X- ray Photoelectron Spectroscopy and its application in phase- switching device study

X- ray Photoelectron Spectroscopy and its application in phase- switching device study X- ray Photoelectron Spectroscopy and its application in phase- switching device study Xinyuan Wang A53073806 I. Background X- ray photoelectron spectroscopy is of great importance in modern chemical and

More information

X-Ray Photoelectron Spectroscopy (XPS)-2

X-Ray Photoelectron Spectroscopy (XPS)-2 X-Ray Photoelectron Spectroscopy (XPS)-2 Louis Scudiero http://www.wsu.edu/~scudiero; 5-2669 Fulmer 261A Electron Spectroscopy for Chemical Analysis (ESCA) The 3 step model: 1.Optical excitation 2.Transport

More information

MSE 321 Structural Characterization

MSE 321 Structural Characterization Auger Spectroscopy Auger Electron Spectroscopy (AES) Scanning Auger Microscopy (SAM) Incident Electron Ejected Electron Auger Electron Initial State Intermediate State Final State Physical Electronics

More information

MT Electron microscopy Scanning electron microscopy and electron probe microanalysis

MT Electron microscopy Scanning electron microscopy and electron probe microanalysis MT-0.6026 Electron microscopy Scanning electron microscopy and electron probe microanalysis Eero Haimi Research Manager Outline 1. Introduction Basics of scanning electron microscopy (SEM) and electron

More information

QUESTIONS AND ANSWERS

QUESTIONS AND ANSWERS QUESTIONS AND ANSWERS (1) For a ground - state neutral atom with 13 protons, describe (a) Which element this is (b) The quantum numbers, n, and l of the inner two core electrons (c) The stationary state

More information

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high?

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high? STM STM With a scanning tunneling microscope, images of surfaces with atomic resolution can be readily obtained. An STM uses quantum tunneling of electrons to map the density of electrons on the surface

More information

Practical Surface Analysis

Practical Surface Analysis Practical Surface Analysis SECOND EDITION Volume 1 Auger and X-ray Photoelectron Spectroscopy Edited by D. BRIGGS ICI PLC, Wilton Materials Research Centre, Wilton, Middlesbrough, Cleveland, UK and M.

More information

Ma5: Auger- and Electron Energy Loss Spectroscopy

Ma5: Auger- and Electron Energy Loss Spectroscopy Ma5: Auger- and Electron Energy Loss Spectroscopy 1 Introduction Electron spectroscopies, namely Auger electron- and electron energy loss spectroscopy are utilized to determine the KLL spectrum and the

More information

4. Inelastic Scattering

4. Inelastic Scattering 1 4. Inelastic Scattering Some inelastic scattering processes A vast range of inelastic scattering processes can occur during illumination of a specimen with a highenergy electron beam. In principle, many

More information

Basic structure of SEM

Basic structure of SEM Table of contents Basis structure of SEM SEM imaging modes Comparison of ordinary SEM and FESEM Electron behavior Electron matter interaction o Elastic interaction o Inelastic interaction o Interaction

More information

Auger Electron Spectroscopy

Auger Electron Spectroscopy Auger Electron Spectroscopy Auger Electron Spectroscopy is an analytical technique that provides compositional information on the top few monolayers of material. Detect all elements above He Detection

More information

IV. Surface analysis for chemical state, chemical composition

IV. Surface analysis for chemical state, chemical composition IV. Surface analysis for chemical state, chemical composition Probe beam Detect XPS Photon (X-ray) Photoelectron(core level electron) UPS Photon (UV) Photoelectron(valence level electron) AES electron

More information

MT Electron microscopy Scanning electron microscopy and electron probe microanalysis

MT Electron microscopy Scanning electron microscopy and electron probe microanalysis MT-0.6026 Electron microscopy Scanning electron microscopy and electron probe microanalysis Eero Haimi Research Manager Outline 1. Introduction Basics of scanning electron microscopy (SEM) and electron

More information

Auger Electron Spectroscopy *

Auger Electron Spectroscopy * OpenStax-CNX module: m43546 1 Auger Electron Spectroscopy * Amanda M. Goodman Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 1 Basic

More information

5) Surface photoelectron spectroscopy. For MChem, Spring, Dr. Qiao Chen (room 3R506) University of Sussex.

5) Surface photoelectron spectroscopy. For MChem, Spring, Dr. Qiao Chen (room 3R506) University of Sussex. For MChem, Spring, 2009 5) Surface photoelectron spectroscopy Dr. Qiao Chen (room 3R506) http://www.sussex.ac.uk/users/qc25/ University of Sussex Today s topics 1. Element analysis with XPS Binding energy,

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

Supporting Information s for

Supporting Information s for Supporting Information s for # Self-assembling of DNA-templated Au Nanoparticles into Nanowires and their enhanced SERS and Catalytic Applications Subrata Kundu* and M. Jayachandran Electrochemical Materials

More information

Praktikum zur. Materialanalytik

Praktikum zur. Materialanalytik Praktikum zur Materialanalytik Energy Dispersive X-ray Spectroscopy B513 Stand: 19.10.2016 Contents 1 Introduction... 2 2. Fundamental Physics and Notation... 3 2.1. Alignments of the microscope... 3 2.2.

More information

Birck Nanotechnology Center XPS: X-ray Photoelectron Spectroscopy ESCA: Electron Spectrometer for Chemical Analysis

Birck Nanotechnology Center XPS: X-ray Photoelectron Spectroscopy ESCA: Electron Spectrometer for Chemical Analysis Birck Nanotechnology Center XPS: X-ray Photoelectron Spectroscopy ESCA: Electron Spectrometer for Chemical Analysis Dmitry Zemlyanov Birck Nanotechnology Center, Purdue University Outline Introduction

More information

Fig 1: Auger Electron Generation (a) Step 1 and (b) Step 2

Fig 1: Auger Electron Generation (a) Step 1 and (b) Step 2 Auger Electron Spectroscopy (AES) Physics of AES: Auger Electrons were discovered in 1925 but were used in surface analysis technique in 1968. Auger Electron Spectroscopy (AES) is a very effective method

More information

X-ray Photoelectron Spectroscopy (XPS)

X-ray Photoelectron Spectroscopy (XPS) X-ray Photoelectron Spectroscopy (XPS) As part of the course Characterization of Catalysts and Surfaces Prof. Dr. Markus Ammann Paul Scherrer Institut markus.ammann@psi.ch Resource for further reading:

More information

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960 Introduction to X-ray Photoelectron Spectroscopy (XPS) X-ray Photoelectron Spectroscopy (XPS), also known as Electron Spectroscopy for Chemical Analysis (ESCA) is a widely used technique to investigate

More information

EDS User School. Principles of Electron Beam Microanalysis

EDS User School. Principles of Electron Beam Microanalysis EDS User School Principles of Electron Beam Microanalysis Outline 1.) Beam-specimen interactions 2.) EDS spectra: Origin of Bremsstrahlung and characteristic peaks 3.) Moseley s law 4.) Characteristic

More information

X-Ray Photoelectron Spectroscopy (XPS)-2

X-Ray Photoelectron Spectroscopy (XPS)-2 X-Ray Photoelectron Spectroscopy (XPS)-2 Louis Scudiero http://www.wsu.edu/~pchemlab ; 5-2669 Fulmer 261A Electron Spectroscopy for Chemical Analysis (ESCA) The 3 step model: 1.Optical excitation 2.Transport

More information

Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist

Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist 12.141 Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist Massachusetts Institute of Technology Electron Microprobe Facility Department of Earth, Atmospheric and Planetary

More information

Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist

Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist 12.141 Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist Massachusetts Institute of Technology Electron Microprobe Facility Department of Earth, Atmospheric and Planetary

More information

Chemistry 311: Instrumentation Analysis Topic 2: Atomic Spectroscopy. Chemistry 311: Instrumentation Analysis Topic 2: Atomic Spectroscopy

Chemistry 311: Instrumentation Analysis Topic 2: Atomic Spectroscopy. Chemistry 311: Instrumentation Analysis Topic 2: Atomic Spectroscopy Topic 2b: X-ray Fluorescence Spectrometry Text: Chapter 12 Rouessac (1 week) 4.0 X-ray Fluorescence Download, read and understand EPA method 6010C ICP-OES Winter 2009 Page 1 Atomic X-ray Spectrometry Fundamental

More information

X-Ray Photoelectron Spectroscopy (XPS) Auger Electron Spectroscopy (AES)

X-Ray Photoelectron Spectroscopy (XPS) Auger Electron Spectroscopy (AES) X-Ray Photoelectron Spectroscopy (XPS) Auger Electron Spectroscopy (AES) XPS X-ray photoelectron spectroscopy (XPS) is one of the most used techniques to chemically characterize the surface. Also known

More information

Photoemission Spectroscopy

Photoemission Spectroscopy FY13 Experimental Physics - Auger Electron Spectroscopy Photoemission Spectroscopy Supervisor: Per Morgen SDU, Institute of Physics Campusvej 55 DK - 5250 Odense S Ulrik Robenhagen,

More information

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy The very basic theory of XPS XPS theroy Surface Analysis Ultra High Vacuum (UHV) XPS Theory XPS = X-ray Photo-electron Spectroscopy X-ray

More information

X-ray Photoemission Spectroscopy (XPS - Ma4)

X-ray Photoemission Spectroscopy (XPS - Ma4) Master Laboratory Report X-ray Photoemission Spectroscopy (XPS - Ma4) Supervisor: Andrew Britton Students: Dachi Meurmishvili, Muhammad Khurram Riaz and Martin Borchert Date: November 17th 2016 1 Contents

More information

M2 TP. Low-Energy Electron Diffraction (LEED)

M2 TP. Low-Energy Electron Diffraction (LEED) M2 TP Low-Energy Electron Diffraction (LEED) Guide for report preparation I. Introduction: Elastic scattering or diffraction of electrons is the standard technique in surface science for obtaining structural

More information

1 Introduction COPYRIGHTED MATERIAL. 1.1 HowdoweDefinetheSurface?

1 Introduction COPYRIGHTED MATERIAL. 1.1 HowdoweDefinetheSurface? 1 Introduction JOHN C. VICKERMAN Manchester Interdisciplinary Biocentre, School of Chemical Engineering and Analytical Science, The University of Manchester, Manchester, UK The surface behaviour of materials

More information

Chapter 9. Electron mean free path Microscopy principles of SEM, TEM, LEEM

Chapter 9. Electron mean free path Microscopy principles of SEM, TEM, LEEM Chapter 9 Electron mean free path Microscopy principles of SEM, TEM, LEEM 9.1 Electron Mean Free Path 9. Scanning Electron Microscopy (SEM) -SEM design; Secondary electron imaging; Backscattered electron

More information

Characterisation of Catalysts Using Secondary and Backscattered Electron In-lens Detectors

Characterisation of Catalysts Using Secondary and Backscattered Electron In-lens Detectors Platinum Metals Rev., 2014, 58, (2), 106 110 FINAL ANALYSIS Characterisation of Catalysts Using Secondary and Backscattered Electron In-lens Detectors Heterogeneous catalysis often involves the use of

More information

Reduced preferential sputtering of TiO 2 (and Ta 2 O 5 ) thin films through argon cluster ion bombardment.

Reduced preferential sputtering of TiO 2 (and Ta 2 O 5 ) thin films through argon cluster ion bombardment. NATIOMEM Reduced preferential sputtering of TiO 2 (and Ta 2 O 5 ) thin films through argon cluster ion bombardment. R. Grilli *, P. Mack, M.A. Baker * * University of Surrey, UK ThermoFisher Scientific

More information

Experimental 2.1 Introduction. Ultra high vacuum.

Experimental 2.1 Introduction. Ultra high vacuum. 2 Experimental 2.1 Introduction. Ultra high vacuum. The interest in solid-gas (or solid-vacuum) interfaces has been increasing rapidly during the last three decades. On one hand this is due to the practical

More information

Auger Analyses Using Low Angle Incident Electrons

Auger Analyses Using Low Angle Incident Electrons Auger Analyses Using Low Angle Incident Electrons Kenichi Tsutsumi, Yuji agasawa and Toyohiko Tazawa Electron ptics Division, JEL Ltd. Introduction Auger Electron Spectroscopy (AES) is widely used, as

More information

Table 1: Residence time (τ) in seconds for adsorbed molecules

Table 1: Residence time (τ) in seconds for adsorbed molecules 1 Surfaces We got our first hint of the importance of surface processes in the mass spectrum of a high vacuum environment. The spectrum was dominated by water and carbon monoxide, species that represent

More information

MICRO-TOMOGRAPHY AND X-RAY ANALYSIS OF GEOLOGICAL SAMPLES

MICRO-TOMOGRAPHY AND X-RAY ANALYSIS OF GEOLOGICAL SAMPLES THE PUBLISHING HOUSE PROCEEDINGS OF THE ROMANIAN ACADEMY, Series A, OF THE ROMANIAN ACADEMY Volume 18, Number 1/2017, pp. 42 49 MICRO-TOMOGRAPHY AND X-RAY ANALYSIS OF GEOLOGICAL SAMPLES Ion GRUIA University

More information

Photoelectron Spectroscopy using High Order Harmonic Generation

Photoelectron Spectroscopy using High Order Harmonic Generation Photoelectron Spectroscopy using High Order Harmonic Generation Alana Ogata Yamanouchi Lab, University of Tokyo ABSTRACT The analysis of photochemical processes has been previously limited by the short

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Applications of XPS, AES, and TOF-SIMS

Applications of XPS, AES, and TOF-SIMS Applications of XPS, AES, and TOF-SIMS Scott R. Bryan Physical Electronics 1 Materials Characterization Techniques Microscopy Optical Microscope SEM TEM STM SPM AFM Spectroscopy Energy Dispersive X-ray

More information

Energy Spectroscopy. Excitation by means of a probe

Energy Spectroscopy. Excitation by means of a probe Energy Spectroscopy Excitation by means of a probe Energy spectral analysis of the in coming particles -> XAS or Energy spectral analysis of the out coming particles Different probes are possible: Auger

More information

AES - Auger Electron Spectrosopy

AES - Auger Electron Spectrosopy Advanced Materials - Lab Intermediate Physics Ulm University Institute of Solid State Physics AES - Auger Electron Spectrosopy Sebastian Schnurr March 13, 2013 Safety Precautions MAKE SURE THAT YOU UNDERSTAND

More information

Scanning Electron Microscopy

Scanning Electron Microscopy Scanning Electron Microscopy Field emitting tip Grid 2kV 100kV Anode ZEISS SUPRA Variable Pressure FESEM Dr Heath Bagshaw CMA bagshawh@tcd.ie Why use an SEM? Fig 1. Examples of features resolvable using

More information

Name: (a) What core levels are responsible for the three photoelectron peaks in Fig. 1?

Name: (a) What core levels are responsible for the three photoelectron peaks in Fig. 1? Physics 243A--Surface Physics of Materials: Spectroscopy Final Examination December 16, 2014 (3 problems, 100 points total, open book, open notes and handouts) Name: [1] (50 points), including Figures

More information

The Benefit of Wide Energy Range Spectrum Acquisition During Sputter Depth Profile Measurements

The Benefit of Wide Energy Range Spectrum Acquisition During Sputter Depth Profile Measurements The Benefit of Wide Energy Range Spectrum Acquisition During Sputter Depth Profile Measurements Uwe Scheithauer, 82008 Unterhaching, Germany E-Mail: scht.uhg@googlemail.com Internet: orcid.org/0000-0002-4776-0678;

More information

h p λ = mν Back to de Broglie and the electron as a wave you will learn more about this Equation in CHEM* 2060

h p λ = mν Back to de Broglie and the electron as a wave you will learn more about this Equation in CHEM* 2060 Back to de Broglie and the electron as a wave λ = mν h = h p you will learn more about this Equation in CHEM* 2060 We will soon see that the energies (speed for now if you like) of the electrons in the

More information

Electron Microscopy I

Electron Microscopy I Characterization of Catalysts and Surfaces Characterization Techniques in Heterogeneous Catalysis Electron Microscopy I Introduction Properties of electrons Electron-matter interactions and their applications

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

Inelastic soft x-ray scattering, fluorescence and elastic radiation

Inelastic soft x-ray scattering, fluorescence and elastic radiation Inelastic soft x-ray scattering, fluorescence and elastic radiation What happens to the emission (or fluorescence) when the energy of the exciting photons changes? The emission spectra (can) change. One

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

Energy Spectroscopy. Ex.: Fe/MgO

Energy Spectroscopy. Ex.: Fe/MgO Energy Spectroscopy Spectroscopy gives access to the electronic properties (and thus chemistry, magnetism,..) of the investigated system with thickness dependence Ex.: Fe/MgO Fe O Mg Control of the oxidation

More information

Secondary Ion Mass Spectrometry (SIMS)

Secondary Ion Mass Spectrometry (SIMS) CHEM53200: Lecture 10 Secondary Ion Mass Spectrometry (SIMS) Major reference: Surface Analysis Edited by J. C. Vickerman (1997). 1 Primary particles may be: Secondary particles can be e s, neutral species

More information

Generation of X-Rays in the SEM specimen

Generation of X-Rays in the SEM specimen Generation of X-Rays in the SEM specimen The electron beam generates X-ray photons in the beam-specimen interaction volume beneath the specimen surface. Some X-ray photons emerging from the specimen have

More information

Nanoelectronics 09. Atsufumi Hirohata Department of Electronics. Quick Review over the Last Lecture

Nanoelectronics 09. Atsufumi Hirohata Department of Electronics. Quick Review over the Last Lecture Nanoelectronics 09 Atsufumi Hirohata Department of Electronics 13:00 Monday, 12/February/2018 (P/T 006) Quick Review over the Last Lecture ( Field effect transistor (FET) ): ( Drain ) current increases

More information

CHARACTERIZATION of NANOMATERIALS KHP

CHARACTERIZATION of NANOMATERIALS KHP CHARACTERIZATION of NANOMATERIALS Overview of the most common nanocharacterization techniques MAIN CHARACTERIZATION TECHNIQUES: 1.Transmission Electron Microscope (TEM) 2. Scanning Electron Microscope

More information

For the next several lectures, we will be looking at specific photon interactions with matter. In today s lecture, we begin with the photoelectric

For the next several lectures, we will be looking at specific photon interactions with matter. In today s lecture, we begin with the photoelectric For the next several lectures, we will be looking at specific photon interactions with matter. In today s lecture, we begin with the photoelectric effect. 1 The objectives of today s lecture are to identify

More information

MODERN TECHNIQUES OF SURFACE SCIENCE

MODERN TECHNIQUES OF SURFACE SCIENCE MODERN TECHNIQUES OF SURFACE SCIENCE Second edition D. P. WOODRUFF & T. A. DELCHAR Department ofphysics, University of Warwick CAMBRIDGE UNIVERSITY PRESS Contents Preface to first edition Preface to second

More information

Spin-resolved photoelectron spectroscopy

Spin-resolved photoelectron spectroscopy Spin-resolved photoelectron spectroscopy Application Notes Spin-resolved photoelectron spectroscopy experiments were performed in an experimental station consisting of an analysis and a preparation chamber.

More information

Depth Distribution Functions of Secondary Electron Production and Emission

Depth Distribution Functions of Secondary Electron Production and Emission Depth Distribution Functions of Secondary Electron Production and Emission Z.J. Ding*, Y.G. Li, R.G. Zeng, S.F. Mao, P. Zhang and Z.M. Zhang Hefei National Laboratory for Physical Sciences at Microscale

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Surface Sensitivity & Surface Specificity

Surface Sensitivity & Surface Specificity Surface Sensitivity & Surface Specificity The problems of sensitivity and detection limits are common to all forms of spectroscopy. In its simplest form, the question of sensitivity boils down to whether

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF

MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF 2016 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 4: XRF Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Application of the GD-Profiler 2 to the PV domain

Application of the GD-Profiler 2 to the PV domain Application of the GD-Profiler 2 to the PV domain GD Profiler 2 RF GDOES permits to follow the distribution of the elements as function of depth. This is an ultra fast characterisation technique capable

More information

6. Analytical Electron Microscopy

6. Analytical Electron Microscopy Physical Principles of Electron Microscopy 6. Analytical Electron Microscopy Ray Egerton University of Alberta and National Institute of Nanotechnology Edmonton, Canada www.tem-eels.ca regerton@ualberta.ca

More information

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev

Characterization of Secondary Emission Materials for Micro-Channel Plates. S. Jokela, I. Veryovkin, A. Zinovev Characterization of Secondary Emission Materials for Micro-Channel Plates S. Jokela, I. Veryovkin, A. Zinovev Secondary Electron Yield Testing Technique We have incorporated XPS, UPS, Ar-ion sputtering,

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST 2015 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1

More information

Appearance Potential Spectroscopy

Appearance Potential Spectroscopy Appearance Potential Spectroscopy Submitted by Sajanlal P. R CY06D009 Sreeprasad T. S CY06D008 Dept. of Chemistry IIT MADRAS February 2006 1 Contents Page number 1. Introduction 3 2. Theory of APS 3 3.

More information

EMISSION SPECTROSCOPY

EMISSION SPECTROSCOPY IFM The Department of Physics, Chemistry and Biology LAB 57 EMISSION SPECTROSCOPY NAME PERSONAL NUMBER DATE APPROVED I. OBJECTIVES - Understand the principle of atomic emission spectra. - Know how to acquire

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes,, and Yuepeng Deng Department of Materials Science and Engineering University

More information

Techniques EDX, EELS et HAADF en TEM: possibilités d analyse et applications

Techniques EDX, EELS et HAADF en TEM: possibilités d analyse et applications Techniques EDX, EELS et HAADF en TEM: possibilités d analyse et applications Thomas Neisius Université Paul Cézanne Plan Imaging modes HAADF Example: supported Pt nanoparticles Electron sample interaction

More information

Transmission Electron Microscopy

Transmission Electron Microscopy L. Reimer H. Kohl Transmission Electron Microscopy Physics of Image Formation Fifth Edition el Springer Contents 1 Introduction... 1 1.1 Transmission Electron Microscopy... 1 1.1.1 Conventional Transmission

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Lecture 20 Auger Electron Spectroscopy

Lecture 20 Auger Electron Spectroscopy Lecture 20 Auger Electron Spectroscopy Auger history cloud chamber Although Auger emission is intense, it was not used until 1950 s. Evolution of vacuum technology and the application of Auger Spectroscopy

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS. Byungha Shin Dept. of MSE, KAIST

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS. Byungha Shin Dept. of MSE, KAIST 2015 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Secondary Ion Mass Spectroscopy (SIMS)

Secondary Ion Mass Spectroscopy (SIMS) Secondary Ion Mass Spectroscopy (SIMS) Analyzing Inorganic Solids * = under special conditions ** = semiconductors only + = limited number of elements or groups Analyzing Organic Solids * = under special

More information

X-Ray Emission and Absorption

X-Ray Emission and Absorption X-Ray Emission and Absorption Author: Mike Nill Alex Bryant February 6, 20 Abstract X-rays were produced by two bench-top diffractometers using a copper target. Various nickel filters were placed in front

More information

X-Ray Photoelectron Spectroscopy (XPS)

X-Ray Photoelectron Spectroscopy (XPS) X-Ray Photoelectron Spectroscopy (XPS) Louis Scudiero http://www.wsu.edu/~scudiero; 5-2669 Fulmer 261A Electron Spectroscopy for Chemical Analysis (ESCA) The basic principle of the photoelectric effect

More information

Lecture 17 Auger Electron Spectroscopy

Lecture 17 Auger Electron Spectroscopy Lecture 17 Auger Electron Spectroscopy Auger history cloud chamber Although Auger emission is intense, it was not used until 1950 s. Evolution of vacuum technology and the application of Auger Spectroscopy

More information

Revision Guide. Chapter 7 Quantum Behaviour

Revision Guide. Chapter 7 Quantum Behaviour Revision Guide Chapter 7 Quantum Behaviour Contents CONTENTS... 2 REVISION CHECKLIST... 3 REVISION NOTES... 4 QUANTUM BEHAVIOUR... 4 Random arrival of photons... 4 Photoelectric effect... 5 PHASE AN PHASORS...

More information

Photoelectric Effect Experiment

Photoelectric Effect Experiment Experiment 1 Purpose The photoelectric effect is a key experiment in modern physics. In this experiment light is used to excite electrons that (given sufficient energy) can escape from a material producing

More information

The Use of Synchrotron Radiation in Modern Research

The Use of Synchrotron Radiation in Modern Research The Use of Synchrotron Radiation in Modern Research Physics Chemistry Structural Biology Materials Science Geochemical and Environmental Science Atoms, molecules, liquids, solids. Electronic and geometric

More information