Tradeoff between Reliability and Power Management

Size: px
Start display at page:

Download "Tradeoff between Reliability and Power Management"

Transcription

1 Tradeoff between Reliability and Power Management 9/1/2005 FORGE Lee, Kyoungwoo

2 Contents 1. Overview of relationship between reliability and power management 2. Dakai Zhu, Rami Melhem and Daniel Moss e, "The Effects of Energy Management on Reliability in Real-Time Embedded Systems" in ICCAD Kresimir Mihic, Tajana Simunic and Giovanni De Micheli, Reliability and Power Management of Integrated Systems" in DSD

3 1. Overview Power Management Techniques Power/Reliability/Time/Performance Reliability Approaches PA App Application App FT PA MW Middleware MW FT DPM DVS DFS PA OS PA HW Operating System Hardware PA NW Network OS FT NW FT HW FT Checkpointing Redundancy 3

4 1. Overview (cont ) Relationship b/w power management techniques and reliability approaches Voltage scaling decreases reliability Increase soft error rate Reduce the number of possible recoveries Checkpointing and recovery mechanism increases energy consumption Frequent fault-tolerance decreases energy saving Dynamic power management affects reliability Low power modes present lower failure rate than active mode Frequent transitions b/w active and low power states cause the larger number of failures Redundancy module increases energy usage Redundant modules w/o PM cause a significant increase in average power consumption 4

5 2. "The Effects of Energy Management on Reliability in Real-Time Embedded Systems" in ICCAD 2004 Dakai Zhu, Rami Melhem and Daniel Moss e from PARTS pjt in University of Pittsburgh

6 Outline Motivation Problem Main Idea Simulation Results Conclusion and Contribution 6

7 Motivation For autonomous critical real-time embedded applications (satellite and surveillance systems), both high reliability and low energy consumption are desired Slack time can be used for reliability and energy saving in real-time applications Temporal redundancy like recovery DVS (Dynamic Voltage Scaling) Tradeoff between power management and reliability Frequent fault-tolerance increases energy consumption More energy saving by DVS or DFS causes less reliability since less slack time for fault-tolerance The rate of soft errors depends on operating frequency and supply voltage 7

8 Soft Error Transient fault or Single-event upset Glitch in a semiconductor device A charged article strikes electronic circuits and changes the amount of charge stored at sensitive nodes, hence affects the logic state Random, non-catastrophic, non-destructive, recoverable Caused by Radiation Neutrons Alpha particles High-energy cosmic rays Solar particles Soft Error Rate (SER) 50,000 Failures in Time (FIT*) per complex chip (once every two years) 2-4 soft errors per year at a server with 256 MB DRAM One error per week for a 1 GB memory in 0.25 µm IBM experiments suggest the even higher error rate Become worse Shrinking geometries Higher-density circuits Lowering supply voltage FIT*: one FIT corresponds to one error in a billion hours, or 114 years 8 Acknowledgement: Photo by Tom Way and Julie Lee, Courtesy of IBM Corporation Picture from De Micheli

9 Problem Explore the tradeoff b/w reliability and energy consumption in real-time embedded systems considering fault-rate changes Propose two fault rate models related to frequency and voltage scaling Analyze the effects of energy management on reliability 9

10 Main Work Propose and analyze models for application, power, & fault Application model: A frame-based real-time applications (slack time) Power model: P = P s + h*(p ind + P d ) Models for DFS and DVS by normalized f & V (f max =1,V max =1) Fault model Linear model for DFS (fixed Voltage) λ(f,v) = λ(f) = λ 0 *f b Exponential model for DVS λ(f,v) = λ(f) = λ 0 *10 d{(1-f)/(1-fmin)} Compare the effects of frequency scaling and voltage scaling on reliability with respect to the performability and energy consumption Performability: the probability of finishing the application correctly within its deadline in the presence of faults R f = 1 ρ f k f +1 ρ f k f +1 is the probability of having fault(s) during every execution Original (1) + Recovery executions (k f ) 10

11 Application Model A frame-based real-time application which is expected repeatedly within every frame L: worst case execution time at f max D: deadline (L <= D) Assumption: Execution time is linearly related to the frequency (eg) frequency is reduced by half, execution time doubles 11

12 Power Model P = P s + h*( P ind + P d ) where P d = C*V 2 *f P s : sleep power P ind : frequency-independent active power P d : frequency-dependent active power Assumption The system is always on due to the huge overhead of timing on/off system P s is zero since it ll not affect energy saving 12

13 Energy Model (Frequency Scaling) Save P d by reducing f w/o changing V E = P*T = P ind *(L/f) + C*V 2 max*l since f max = 1 P = P ind + C*V 2 max *f at frequency f T = L*(f max /f) Frequency scaling consumes more energy to execute an application at lower frequency 13

14 Energy Model (Voltage Scaling) Reduce the supply voltage for lower frequency E = P*T = P ind *(L/f) + C*f 2 *L P = P ind + C*V 2 *f for V For frequency f, the corresponding V = f*v max =f since V max = 1 for normalization T = L*(f max /f) Lower frequency lower supply voltage less frequency-dependent energy more time for execution more freq-independent energy 14

15 Fault Model The amount of slack time D L Deadline Worst case execution time Performability The probability of finishing the application correctly within its deadline in the presence of faults Average fault rate at f and V λ (f,v) = λ 0 g(f,v) λ 0 is the average fault rate corresponding to V max and f max 15

16 Linear Model for DFS The fault rate was shown to decrease linearly when frequency is reduced The softy margin in clock cycles becomes relatively larger when the frequency decreases λ(f,v) = λ(f) = λ 0 *f b b is a constant When b = 1, the fault rate is linearly increasing with the frequency 16

17 Exponential Model for DVS The fault rates in processors as well as memory increases exponentially when supply voltage decreases (why) with reduced supply voltage, the critical charge becomes smaller resulting in exponentially increased fault rate λ(f,v) = λ(f) = λ 0 *10 d{(1-f)/(1-fmin)} when f and V = f*v max = f (V max = 1) λ max = λ 0 10 d Reducing the supply voltage for lower frequency results in exponentially increased fault rates Larger d indicates that the fault-rate is more sensitive to voltage scaling 17

18 Perfomability R f = 1 ρ f k f +1 ρ f k f +1 is the probability of having fault(s) during every execution Original (1) + Recovery executions (k f ) ρ f = 1 e -λ(f,v)l/f The probability of having at least one fault during one running of the application For frequency scaling ρ f = 1 e -λ(f,v)l/f = 1 e -λ 0 Lf^(b-1) If b <= 1, ρ f increases when f decreases and lower frequencies result in fewer number of recoveries Hence, R f decreases when f decreases When b > 1, ρ f decreases when f decreases HW, lower frequency leads to fewer recoveries, which decreases R f dramatically 18

19 Perfomability (cont ) With voltage scaling, ρ f = 1 e -λ(f,v)l/f = 1 e -λ 0 10^{d(1-f)/(1-f min )}L/f ρ f increases when frequency f decreases Lower frequencies result in fewer number of recoveries Therefore, R f decreases when supply voltage is reduced for lower frequency 19

20 Energy Consumption Expected Energy Consumption EE f = E f (1 + ρ f + ρ f ρ f k f ) = E f (1- ρ f k f +1 )/(1- ρ f ) E f = (P ind + CV 2 f)l/f For frequency scaling, EE f increases when f decreases due to increased E f and/or increased ρ f Under voltage scaling, EE f is always less than that of f max But when specific cases, EE f may increase for lower frequency and voltage, when the probability of executing the recoveries is rather high due to fast increased fault rates 20

21 Simulation Setup Assumption Normalized frequency and voltage f max = 1 and V max = 1 P d max = CV 2 maxf max = 1 : max frequency-dependent power Power consumption Pentium M processor: 25 W peak power, 1 W sleep power Rambus memory: 300 mw active, 30 mw sleep power P ind = 0.0, 0.2, and 0.4 The rate of radiation induced faults: 10-6 at V max and f max b: 0.1, 1, and 10 d: 0, 2, 4, and 6 Application with D = 100 time units and L = 30 at f max 21

22 Simulation Results (DFS) P decreases monotonically when b<=1 P increases if lower f result in the same # of recoveries when b>1 Sharp jump reflects one fewer recovery EE increases when f decreases due to increased freq-ind energy consumption Scaling down frequencies w/ fixed supply voltage increases energy consumption as well as decreases the performability, and should not be employed 22

23 Simulation Results (DVS) Larger values of d lead to worse performability for lower frequency The performability for fixed fault rate (d=0) is much better than that of variable fault rate Decreasing freq. and vol. decreases the energy consumption For d = 6, energy consumption increases at lower freq. when 0.6<f<0.7 high probability of recovery Ignoring the effects of voltage scaling may lead to unsatisfied performability 23

24 Conclusion and Contribution Energy management through frequency and voltage scaling has significant effects on system reliability Ignoring the effects of energy management on fault rate is too optimistic and may lead to unsatisfied reliability goals The first attempt to model the relationship between reliability and power management with fault-rate changes caused by voltage scaling 24

25 3. "Reliability and Power Management of Integrated Systems" in DSD 2004 Kresimir Mihic, Tajana Simunic & Giovanni De Micheli from CSL in Stanford University

26 Outline Motivation Problem Main Idea Simulation Results Conclusion and Contribution 26

27 Motivation Advances in silicon technology for integrated systems like SoC/NoCs Higher device density (more than one billion transistors) Higher operating frequency Lower supply voltage Computation, storage, and information transition on chip will be subject to malfunctions Dynamic Power Management (DPM) Low power states present less fault rate than active state Frequent transitions between active and low power states can reduce reliability A need to evaluate reliability along with power and performance 27

28 Problem 1. To analyze system-level reliability To understand the relationship between run-time power management and reliability analysis To model system level reliability as a function of failure rates, system configuration & DPM policies To determine whether the effects of DPM are beneficial for reliability To introduce design constraints such as MTTF (Mean Time To Failure) 2. To incorporate reliability as an objective into DPM policy optimization 3. To choose components and topologies to achieve reliable low-energy design 28

29 MTTF MTTF (Mean Time To Failure) A useful parameter to specify the quality of a system The expected time that a system will operate before the first failure occurs MTTF = Σ N i=1 t i /N N identical systems t i : each system, i, operates for a time, t i, before encountering the first failure MTBF (Mean Time Between Failure) The average time between failures of a system MTBF = T/n avg n avg = Σ N i=1 n i /N Each of the N systems is operated for some time T n i is the number of failures for T n avg is the average number of failures The total operation time, T, divided by the average number of failures experienced during the time T MTTR (Mean Time To Repair) The average time to repair the system and place it back into operation MTBF = MTTF + MTTR 29

30 Main Work Overall system modeling Reliability model a reliability network A graph abstracting integrated systems Nodes: resources Edges: functional relations Function of time, failure rate, & configuration (series/parallel) DPM model PSM (Power State Machine) Function of power consumption and time usage Represent overall system as combination of components PSMs System analysis by simulation 30

31 Failure Rate The speed at which components are likely to fail Assuming a unit works correctly in [0,t], the failure rate is the conditional probability λ(t) that a unit fails in [t, t+ t] Typically the failure rate λ depends on Temperature (exponentially) Environmental exposure Soft errors Mechanical and thermal stress Time (burn-in and aging) Often the component failure rate is assumed to be constant for simplicity 31

32 Reliability of Integrated Systems A reliability network A graph abstracting integrated systems Nodes: resources (computation, storage & communication resources) Edges: functional relations Series / Parallel configurations 32

33 Example For reliability analysis, a system consists of two components Processor and Cache All components have to be up at the same time to accomplish the mission The two components form a series configuration The system reliability is the product of the component reliabilities (if the failure rates are independent) Assume failure rates constant: The system failure rate is the sum of the failure rates The MTTF is its inverse A system consists of two processors: A working processor suffices to accomplish the mission a parallel configuration The system unreliability is the product of the component unreliabilities R(t) = 1 [1-R 1 (t)] [1-R 2 (t)] Assume failure rates constant The MTTF is 1/λ 1 + 1/λ 2 +1/ (λ 1 +λ 2 ) Other relevant configurations: Standby Triple modular redundancy 33

34 SA 1100 DPM modeling: single unit PSM (Power State Machine) RUN: operational at different f and v. IDLE: a SW routine may stop the CPU when not in use, while monitoring interrupts SLEEP: Shutdown of onchip activity 34

35 Overall System Modeling Combine: Power-state machine model Reliability model Represent overall system as combination of components PSMs Failure rates depend on system state System control aims at setting system state To increase energy efficiency To enhance reliability 35

36 System Model 36

37 Simulation Processing engine with four cores Two cores are redundant (active or standby) 37

38 Simulation Results Low power state (standby) presents higher reliability PM doesn t necessary deliver better reliability In figure 5, aggressive PM is helpful for improving power consumption with little cost system reliability in larger feature sizes In figure 6, for smaller feature sizes, critical to carefully trade off b/w the design of 38PM with reliability

39 Simulation Results (cont ) The system with standby redundancy plots better reliability than the system with active redundancy Frequent switching causes a proportionally larger probability of core failure 39

40 Conclusion and Contribution The first attempt that reliability measures have been modeled jointly with DPM System level reliability is determined as a function of failure rates, configuration and PM policies A strong relationship is shown between PM policy and system reliability This methodology enables designers to quickly evaluate their system design in terms of three main objectives: Minimum power consumption Maximum system reliability And optimum performance 40

41 Discussion Relationship b/w power management techniques and reliability approaches Voltage scaling decreases reliability Increase soft error rate Reduce the number of possible recoveries Checkpointing and recovery mechanism increases energy consumption Frequent fault-tolerance decreases energy saving Dynamic power management affects reliability Low power modes present lower failure rate than active mode Frequent transitions b/w active and low power states cause the larger number of failures Redundancy module increases energy usage Redundant modules w/o PM cause a significant increase in average power consumption 41

42 Relationship b/w Power, Reliability and Time P act,max Power P act,max P act,1/2max =1/4P act,max P act,1/4max =1/16P act,max T idle T tr T act,high T tr T act,low T tr T act,max T tr T sleeptdeadline r act,low Time Fault Rate Decreasing V increases fault rate exponentially r act,high High frequent transition decreases reliability r tr r tr r tr r tr r r act,max r idle act,max Low-power modes present less fault rate than high-power mode like active mode rsleep T deadline Time 42

Reliable Computing I

Reliable Computing I Instructor: Mehdi Tahoori Reliable Computing I Lecture 5: Reliability Evaluation INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE NANO COMPUTING (CDNC) National Research Center of the Helmholtz

More information

Efficient Power Management Schemes for Dual-Processor Fault-Tolerant Systems

Efficient Power Management Schemes for Dual-Processor Fault-Tolerant Systems Efficient Power Management Schemes for Dual-Processor Fault-Tolerant Systems Yifeng Guo, Dakai Zhu The University of Texas at San Antonio Hakan Aydin George Mason University Outline Background and Motivation

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

Resilient and energy-aware algorithms

Resilient and energy-aware algorithms Resilient and energy-aware algorithms Anne Benoit ENS Lyon Anne.Benoit@ens-lyon.fr http://graal.ens-lyon.fr/~abenoit CR02-2016/2017 Anne.Benoit@ens-lyon.fr CR02 Resilient and energy-aware algorithms 1/

More information

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap EECS150 - Digital Design Lecture 26 Faults and Error Correction Nov. 26, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof.

More information

Reliability-Aware Power Management for Real-Time Embedded Systems. 3 5 Real-Time Tasks... Dynamic Voltage and Frequency Scaling...

Reliability-Aware Power Management for Real-Time Embedded Systems. 3 5 Real-Time Tasks... Dynamic Voltage and Frequency Scaling... Chapter 1 Reliability-Aware Power Management for Real-Time Embedded Systems Dakai Zhu The University of Texas at San Antonio Hakan Aydin George Mason University 1.1 1.2 Introduction... Background and System

More information

Fault Tolerance. Dealing with Faults

Fault Tolerance. Dealing with Faults Fault Tolerance Real-time computing systems must be fault-tolerant: they must be able to continue operating despite the failure of a limited subset of their hardware or software. They must also allow graceful

More information

Quantitative evaluation of Dependability

Quantitative evaluation of Dependability Quantitative evaluation of Dependability 1 Quantitative evaluation of Dependability Faults are the cause of errors and failures. Does the arrival time of faults fit a probability distribution? If so, what

More information

Terminology and Concepts

Terminology and Concepts Terminology and Concepts Prof. Naga Kandasamy 1 Goals of Fault Tolerance Dependability is an umbrella term encompassing the concepts of reliability, availability, performability, safety, and testability.

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Jan. 17 th : Homework 1 release (due on Jan.

More information

Radiation Effects on Electronics. Dr. Brock J. LaMeres Associate Professor Electrical & Computer Engineering Montana State University

Radiation Effects on Electronics. Dr. Brock J. LaMeres Associate Professor Electrical & Computer Engineering Montana State University Dr. Brock J. LaMeres Associate Professor Electrical & Computer Engineering Montana State University Research Statement Support the Computing Needs of Space Exploration & Science Computation Power Efficiency

More information

Quantitative evaluation of Dependability

Quantitative evaluation of Dependability Quantitative evaluation of Dependability 1 Quantitative evaluation of Dependability Faults are the cause of errors and failures. Does the arrival time of faults fit a probability distribution? If so, what

More information

Analysis of the Tradeoffs between Energy and Run Time for Multilevel Checkpointing

Analysis of the Tradeoffs between Energy and Run Time for Multilevel Checkpointing Analysis of the Tradeoffs between Energy and Run Time for Multilevel Checkpointing Prasanna Balaprakash, Leonardo A. Bautista Gomez, Slim Bouguerra, Stefan M. Wild, Franck Cappello, and Paul D. Hovland

More information

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng 6.1 Outline Power and Energy Dynamic Power Static Power 6.2 Power and Energy Power is drawn from a voltage source attached to the V DD

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Fault Tolerant Computing ECE 655

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Fault Tolerant Computing ECE 655 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Fault Tolerant Computing ECE 655 Part 1 Introduction C. M. Krishna Fall 2006 ECE655/Krishna Part.1.1 Prerequisites Basic courses in

More information

CHAPTER 10 RELIABILITY

CHAPTER 10 RELIABILITY CHAPTER 10 RELIABILITY Failure rates Reliability Constant failure rate and exponential distribution System Reliability Components in series Components in parallel Combination system 1 Failure Rate Curve

More information

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden of /4 4 Embedded Systems Design: Optimization Challenges Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden Outline! Embedded systems " Example area: automotive electronics " Embedded systems

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 14: Designing for Low Power [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN

More information

Energy-aware checkpointing of divisible tasks with soft or hard deadlines

Energy-aware checkpointing of divisible tasks with soft or hard deadlines Energy-aware checkpointing of divisible tasks with soft or hard deadlines Guillaume Aupy 1, Anne Benoit 1,2, Rami Melhem 3, Paul Renaud-Goud 1 and Yves Robert 1,2,4 1. Ecole Normale Supérieure de Lyon,

More information

Statistical Reliability Modeling of Field Failures Works!

Statistical Reliability Modeling of Field Failures Works! Statistical Reliability Modeling of Field Failures Works! David Trindade, Ph.D. Distinguished Principal Engineer Sun Microsystems, Inc. Quality & Productivity Research Conference 1 Photo by Dave Trindade

More information

Evaluation and Validation

Evaluation and Validation Evaluation and Validation Peter Marwedel TU Dortmund, Informatik 12 Germany Graphics: Alexandra Nolte, Gesine Marwedel, 2003 2011 06 18 These slides use Microsoft clip arts. Microsoft copyright restrictions

More information

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Jian-Jia Chen *, Chuan Yue Yang, Tei-Wei Kuo, and Chi-Sheng Shih Embedded Systems and Wireless Networking Lab. Department of Computer

More information

An Efficient Energy-Optimal Device-Scheduling Algorithm for Hard Real-Time Systems

An Efficient Energy-Optimal Device-Scheduling Algorithm for Hard Real-Time Systems An Efficient Energy-Optimal Device-Scheduling Algorithm for Hard Real-Time Systems S. Chakravarthula 2 S.S. Iyengar* Microsoft, srinivac@microsoft.com Louisiana State University, iyengar@bit.csc.lsu.edu

More information

ENERGY AND RELIABILITY MANAGEMENT IN PARALLEL REAL-TIME SYSTEMS

ENERGY AND RELIABILITY MANAGEMENT IN PARALLEL REAL-TIME SYSTEMS ENERGY AND RELIABILIY MANAGEMEN IN PARALLEL REAL-IME SYSEMS by Dakai Zhu B.E, Xi an Jiaotong University, P.R.China, 1996 M.E, singhua University, P.R.China, 1999 M.S, University of Pittsburgh, 2001 Submitted

More information

Shared Recovery for Energy Efficiency and Reliability Enhancements in Real-Time Applications with Precedence Constraints

Shared Recovery for Energy Efficiency and Reliability Enhancements in Real-Time Applications with Precedence Constraints Shared Recovery for Energy Efficiency and Reliability Enhancements in Real-Time Applications with Precedence Constraints BAOXIAN ZHAO and HAKAN AYDIN, George Mason University DAKAI ZHU, University of Texas

More information

NEC PerforCache. Influence on M-Series Disk Array Behavior and Performance. Version 1.0

NEC PerforCache. Influence on M-Series Disk Array Behavior and Performance. Version 1.0 NEC PerforCache Influence on M-Series Disk Array Behavior and Performance. Version 1.0 Preface This document describes L2 (Level 2) Cache Technology which is a feature of NEC M-Series Disk Array implemented

More information

Modern Computer Architecture

Modern Computer Architecture Modern Computer Architecture Lecture1 Fundamentals of Quantitative Design and Analysis (II) Hongbin Sun 国家集成电路人才培养基地 Xi an Jiaotong University 1.4 Trends in Technology Logic: transistor density 35%/year,

More information

Lecture 2: Metrics to Evaluate Systems

Lecture 2: Metrics to Evaluate Systems Lecture 2: Metrics to Evaluate Systems Topics: Metrics: power, reliability, cost, benchmark suites, performance equation, summarizing performance with AM, GM, HM Sign up for the class mailing list! Video

More information

DRAM Reliability: Parity, ECC, Chipkill, Scrubbing. Alpha Particle or Cosmic Ray. electron-hole pairs. silicon. DRAM Memory System: Lecture 13

DRAM Reliability: Parity, ECC, Chipkill, Scrubbing. Alpha Particle or Cosmic Ray. electron-hole pairs. silicon. DRAM Memory System: Lecture 13 slide 1 DRAM Reliability: Parity, ECC, Chipkill, Scrubbing Alpha Particle or Cosmic Ray electron-hole pairs silicon Alpha Particles: Radioactive impurity in package material slide 2 - Soft errors were

More information

Dependable Computer Systems

Dependable Computer Systems Dependable Computer Systems Part 3: Fault-Tolerance and Modelling Contents Reliability: Basic Mathematical Model Example Failure Rate Functions Probabilistic Structural-Based Modeling: Part 1 Maintenance

More information

A Dynamic Real-time Scheduling Algorithm for Reduced Energy Consumption

A Dynamic Real-time Scheduling Algorithm for Reduced Energy Consumption A Dynamic Real-time Scheduling Algorithm for Reduced Energy Consumption Rohini Krishnapura, Steve Goddard, Ala Qadi Computer Science & Engineering University of Nebraska Lincoln Lincoln, NE 68588-0115

More information

Scheduling of Frame-based Embedded Systems with Rechargeable Batteries

Scheduling of Frame-based Embedded Systems with Rechargeable Batteries Scheduling of Frame-based Embedded Systems with Rechargeable Batteries André Allavena Computer Science Department Cornell University Ithaca, NY 14853 andre@cs.cornell.edu Daniel Mossé Department of Computer

More information

MICROPROCESSOR REPORT. THE INSIDER S GUIDE TO MICROPROCESSOR HARDWARE

MICROPROCESSOR REPORT.   THE INSIDER S GUIDE TO MICROPROCESSOR HARDWARE MICROPROCESSOR www.mpronline.com REPORT THE INSIDER S GUIDE TO MICROPROCESSOR HARDWARE ENERGY COROLLARIES TO AMDAHL S LAW Analyzing the Interactions Between Parallel Execution and Energy Consumption By

More information

Signal Handling & Processing

Signal Handling & Processing Signal Handling & Processing The output signal of the primary transducer may be too small to drive indicating, recording or control elements directly. Or it may be in a form which is not convenient for

More information

Concept of Reliability

Concept of Reliability Concept of Reliability Prepared By Dr. M. S. Memon Department of Industrial Engineering and Management Mehran University of Engineering and Technology Jamshoro, Sindh, Pakistan RELIABILITY Reliability

More information

CSE493/593. Designing for Low Power

CSE493/593. Designing for Low Power CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1 Why Power Matters Packaging costs Power supply rail design Chip and system

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Motivation, Background, and Tools Slide 1 About This Presentation This presentation has been prepared for the graduate course ECE 257A (Fault-Tolerant Computing) by Behrooz Parhami,

More information

A Mathematical Solution to. by Utilizing Soft Edge Flip Flops

A Mathematical Solution to. by Utilizing Soft Edge Flip Flops A Mathematical Solution to Power Optimal Pipeline Design by Utilizing Soft Edge Flip Flops M. Ghasemazar, B. Amelifard, M. Pedram University of Southern California Department of Electrical Engineering

More information

Dependable Systems. ! Dependability Attributes. Dr. Peter Tröger. Sources:

Dependable Systems. ! Dependability Attributes. Dr. Peter Tröger. Sources: Dependable Systems! Dependability Attributes Dr. Peter Tröger! Sources:! J.C. Laprie. Dependability: Basic Concepts and Terminology Eusgeld, Irene et al.: Dependability Metrics. 4909. Springer Publishing,

More information

TU Wien. Energy Efficiency. H. Kopetz 26/11/2009 Model of a Real-Time System

TU Wien. Energy Efficiency. H. Kopetz 26/11/2009 Model of a Real-Time System TU Wien 1 Energy Efficiency Outline 2 Basic Concepts Energy Estimation Hardware Scaling Power Gating Software Techniques Energy Sources 3 Why are Energy and Power Awareness Important? The widespread use

More information

Combinational Techniques for Reliability Modeling

Combinational Techniques for Reliability Modeling Combinational Techniques for Reliability Modeling Prof. Naga Kandasamy, ECE Department Drexel University, Philadelphia, PA 19104. January 24, 2009 The following material is derived from these text books.

More information

ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING

ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING Abhishek Mishra and Anil Kumar Tripathi Department of

More information

Power in Digital CMOS Circuits. Fruits of Scaling SpecInt 2000

Power in Digital CMOS Circuits. Fruits of Scaling SpecInt 2000 Power in Digital CMOS Circuits Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2004 by Mark Horowitz MAH 1 Fruits of Scaling SpecInt 2000 1000.00 100.00 10.00

More information

Scalable, Robust, Fault-Tolerant Parallel QR Factorization. Camille Coti

Scalable, Robust, Fault-Tolerant Parallel QR Factorization. Camille Coti Communication-avoiding Fault-tolerant Scalable, Robust, Fault-Tolerant Parallel Factorization Camille Coti LIPN, CNRS UMR 7030, SPC, Université Paris 13, France DCABES August 24th, 2016 1 / 21 C. Coti

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Optimizing Energy Consumption under Flow and Stretch Constraints

Optimizing Energy Consumption under Flow and Stretch Constraints Optimizing Energy Consumption under Flow and Stretch Constraints Zhi Zhang, Fei Li Department of Computer Science George Mason University {zzhang8, lifei}@cs.gmu.edu November 17, 2011 Contents 1 Motivation

More information

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory L16: Power Dissipation in Digital Systems 1 Problem #1: Power Dissipation/Heat Power (Watts) 100000 10000 1000 100 10 1 0.1 4004 80088080 8085 808686 386 486 Pentium proc 18KW 5KW 1.5KW 500W 1971 1974

More information

EE 445 / 850: Final Examination

EE 445 / 850: Final Examination EE 445 / 850: Final Examination Date and Time: 3 Dec 0, PM Room: HLTH B6 Exam Duration: 3 hours One formula sheet permitted. - Covers chapters - 5 problems each carrying 0 marks - Must show all calculations

More information

A Simulation Methodology for Reliability Analysis in Multi-Core SoCs

A Simulation Methodology for Reliability Analysis in Multi-Core SoCs A Simulation Methodology for Reliability Analysis in Multi-Core SoCs Ayse K. Coskun, Tajana Simunic Rosing University of California San Diego (UCSD) 95 Gilman Dr. La Jolla CA 92093-0404 {acoskun, tajana}@cs.ucsd.edu

More information

CRYOGENIC DRAM BASED MEMORY SYSTEM FOR SCALABLE QUANTUM COMPUTERS: A FEASIBILITY STUDY

CRYOGENIC DRAM BASED MEMORY SYSTEM FOR SCALABLE QUANTUM COMPUTERS: A FEASIBILITY STUDY CRYOGENIC DRAM BASED MEMORY SYSTEM FOR SCALABLE QUANTUM COMPUTERS: A FEASIBILITY STUDY MEMSYS-2017 SWAMIT TANNU DOUG CARMEAN MOINUDDIN QURESHI Why Quantum Computers? 2 Molecule and Material Simulations

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 8 Power Dissipation in CMOS Gates Power in CMOS gates Dynamic Power Capacitance switching Crowbar

More information

Evaluation and Validation

Evaluation and Validation Evaluation and Validation Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 12 Germany Springer, 2010 2018 年 01 月 17 日 These slides use Microsoft clip arts. Microsoft copyright

More information

Chapter 5. System Reliability and Reliability Prediction.

Chapter 5. System Reliability and Reliability Prediction. Chapter 5. System Reliability and Reliability Prediction. Problems & Solutions. Problem 1. Estimate the individual part failure rate given a base failure rate of 0.0333 failure/hour, a quality factor of

More information

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders EECS 47 Lecture 11: Power and Energy Reading: 5.55 [Adapted from Irwin and Narayanan] 1 Reminders CAD5 is due Wednesday 10/8 You can submit it by Thursday 10/9 at noon Lecture on 11/ will be taught by

More information

Process Scheduling for RTS. RTS Scheduling Approach. Cyclic Executive Approach

Process Scheduling for RTS. RTS Scheduling Approach. Cyclic Executive Approach Process Scheduling for RTS Dr. Hugh Melvin, Dept. of IT, NUI,G RTS Scheduling Approach RTS typically control multiple parameters concurrently Eg. Flight Control System Speed, altitude, inclination etc..

More information

Transistor Sizing for Radiation Hardening

Transistor Sizing for Radiation Hardening Transistor Sizing for Radiation Hardening Qug Zhou and Kartik Mohanram Department of Electrical and Computer Engineering Rice University, Houston, TX 775 E-mail: {qug, kmram}@rice.edu Abstract This paper

More information

Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate

Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate Harmander Singh Deogun, Dennis Sylvester, David Blaauw Department of EECS, University of Michigan, Ann Arbor, MI, US 48109 {hdeogun,dmcs,blaauw@umich.edu}

More information

Advanced Testing. EE5375 ADD II Prof. MacDonald

Advanced Testing. EE5375 ADD II Prof. MacDonald Advanced Testing EE5375 ADD II Prof. MacDonald Functional Testing l Original testing method l Run chip from reset l Tester emulates the outside world l Chip runs functionally with internally generated

More information

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling Tam Chantem Electrical & Computer Engineering High performance Energy efficient Multicore Systems High complexity

More information

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #6: CMOS Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #6: CMOS Logic Rajeevan mirtharajah University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW 3 due this

More information

Lecture 13: Sequential Circuits, FSM

Lecture 13: Sequential Circuits, FSM Lecture 13: Sequential Circuits, FSM Today s topics: Sequential circuits Finite state machines 1 Clocks A microprocessor is composed of many different circuits that are operating simultaneously if each

More information

CPU Consolidation versus Dynamic Voltage and Frequency Scaling in a Virtualized Multi-Core Server: Which is More Effective and When

CPU Consolidation versus Dynamic Voltage and Frequency Scaling in a Virtualized Multi-Core Server: Which is More Effective and When 1 CPU Consolidation versus Dynamic Voltage and Frequency Scaling in a Virtualized Multi-Core Server: Which is More Effective and When Inkwon Hwang, Student Member and Massoud Pedram, Fellow, IEEE Abstract

More information

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays Mahadevan Gomathisankaran Iowa State University gmdev@iastate.edu Akhilesh Tyagi Iowa State University tyagi@iastate.edu ➀ Introduction

More information

Embedded Systems 14. Overview of embedded systems design

Embedded Systems 14. Overview of embedded systems design Embedded Systems 14-1 - Overview of embedded systems design - 2-1 Point of departure: Scheduling general IT systems In general IT systems, not much is known about the computational processes a priori The

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

Developing IoT-Based Factory Automation Using F-RAM

Developing IoT-Based Factory Automation Using F-RAM Developing IoT-Based Factory Automation Using F-RAM Douglas Mitchell Flash Memory Summit 2018 Santa Clara, CA 1 INDUSTRIAL SYSTEMS TODAY Industry 4.0 The smart factory, Cyber-physical systems monitor factory

More information

EDF Feasibility and Hardware Accelerators

EDF Feasibility and Hardware Accelerators EDF Feasibility and Hardware Accelerators Andrew Morton University of Waterloo, Waterloo, Canada, arrmorton@uwaterloo.ca Wayne M. Loucks University of Waterloo, Waterloo, Canada, wmloucks@pads.uwaterloo.ca

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. September 2001 S7C256 5V/3.3V 32K X 8 CMOS SRM (Common I/O) Features S7C256

More information

Lecture 13: Sequential Circuits, FSM

Lecture 13: Sequential Circuits, FSM Lecture 13: Sequential Circuits, FSM Today s topics: Sequential circuits Finite state machines Reminder: midterm on Tue 2/28 will cover Chapters 1-3, App A, B if you understand all slides, assignments,

More information

Computer Architecture

Computer Architecture Lecture 2: Iakovos Mavroidis Computer Science Department University of Crete 1 Previous Lecture CPU Evolution What is? 2 Outline Measurements and metrics : Performance, Cost, Dependability, Power Guidelines

More information

Neutron-SER Modeling & Simulation for 0.18pm CMOS Technology

Neutron-SER Modeling & Simulation for 0.18pm CMOS Technology Neutron-SER Modeling & Simulation for 0.18pm CMOS Technology Changhong Dai, Nagib Hakim, Steve Walstra, Scott Hareland, Jose Maiz, Scott Yu, and Shiuh-Wuu Lee Intel Corporation, Santa Clara, California,

More information

Scheduling for Reduced CPU Energy

Scheduling for Reduced CPU Energy Scheduling for Reduced CPU Energy M. Weiser, B. Welch, A. Demers and S. Shenker Appears in "Proceedings of the First Symposium on Operating Systems Design and Implementation," Usenix Association, November

More information

Part 3: Fault-tolerance and Modeling

Part 3: Fault-tolerance and Modeling Part 3: Fault-tolerance and Modeling Course: Dependable Computer Systems 2012, Stefan Poledna, All rights reserved part 3, page 1 Goals of fault-tolerance modeling Design phase Designing and implementing

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

Scheduling I. Today. Next Time. ! Introduction to scheduling! Classical algorithms. ! Advanced topics on scheduling

Scheduling I. Today. Next Time. ! Introduction to scheduling! Classical algorithms. ! Advanced topics on scheduling Scheduling I Today! Introduction to scheduling! Classical algorithms Next Time! Advanced topics on scheduling Scheduling out there! You are the manager of a supermarket (ok, things don t always turn out

More information

Revisiting Memory Errors in Large-Scale Production Data Centers

Revisiting Memory Errors in Large-Scale Production Data Centers Revisiting Memory Errors in Large-Scale Production Da Centers Analysis and Modeling of New Trends from the Field Justin Meza Qiang Wu Sanjeev Kumar Onur Mutlu Overview Study of DRAM reliability: on modern

More information

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation

EEC 116 Lecture #5: CMOS Logic. Rajeevan Amirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation EEC 116 Lecture #5: CMOS Logic Rajeevan mirtharajah Bevan Baas University of California, Davis Jeff Parkhurst Intel Corporation nnouncements Quiz 1 today! Lab 2 reports due this week Lab 3 this week HW

More information

Availability. M(t) = 1 - e -mt

Availability. M(t) = 1 - e -mt Availability Availability - A(t) the probability that the system is operating correctly and is available to perform its functions at the instant of time t More general concept than reliability: failure

More information

Probabilistic Preemption Control using Frequency Scaling for Sporadic Real-time Tasks

Probabilistic Preemption Control using Frequency Scaling for Sporadic Real-time Tasks Probabilistic Preemption Control using Frequency Scaling for Sporadic Real-time Tasks Abhilash Thekkilakattil, Radu Dobrin and Sasikumar Punnekkat Mälardalen Real-Time Research Center, Mälardalen University,

More information

Energy-efficient Mapping of Big Data Workflows under Deadline Constraints

Energy-efficient Mapping of Big Data Workflows under Deadline Constraints Energy-efficient Mapping of Big Data Workflows under Deadline Constraints Presenter: Tong Shu Authors: Tong Shu and Prof. Chase Q. Wu Big Data Center Department of Computer Science New Jersey Institute

More information

STATISTICAL PERFORMANCE

STATISTICAL PERFORMANCE STATISTICAL PERFORMANCE PROVISIONING AND ENERGY EFFICIENCY IN DISTRIBUTED COMPUTING SYSTEMS Nikzad Babaii Rizvandi 1 Supervisors: Prof.Albert Y.Zomaya Prof. Aruna Seneviratne OUTLINE Introduction Background

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

April 2004 AS7C3256A

April 2004 AS7C3256A pril 2004 S7C3256 3.3V 32K X 8 CMOS SRM (Common I/O) Features Pin compatible with S7C3256 Industrial and commercial temperature options Organization: 32,768 words 8 bits High speed - 10/12/15/20 ns address

More information

RAD Hard Selection Process for RHA Devices as an Element of Design

RAD Hard Selection Process for RHA Devices as an Element of Design RAD Hard Selection Process for RHA Devices as an Element of Design Scope This section provides a suggested process for selecting microcircuits with the required performance, reliability and radiation hardness

More information

TOWARD THE PLACEMENT OF POWER MANAGEMENT POINTS IN REAL-TIME APPLICATIONS

TOWARD THE PLACEMENT OF POWER MANAGEMENT POINTS IN REAL-TIME APPLICATIONS Chapter 1 TOWARD THE PLACEMENT OF POWER MANAGEMENT POINTS IN REAL-TIME APPLICATIONS Nevine AbouGhazaleh, Daniel Mossé, Bruce Childers, Rami Melhem Department of Computer Science University of Pittsburgh

More information

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 23: SRAM & DRAM Memories Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Multi-State Availability Modeling in Practice

Multi-State Availability Modeling in Practice Multi-State Availability Modeling in Practice Kishor S. Trivedi, Dong Seong Kim, Xiaoyan Yin Depart ment of Electrical and Computer Engineering, Duke University, Durham, NC 27708 USA kst@ee.duke.edu, {dk76,

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 13: Power & Energy Slides developed by Milo Mar0n & Amir Roth at the University of Pennsylvania with sources that included University of Wisconsin slides by

More information

Reducing power in using different technologies using FSM architecture

Reducing power in using different technologies using FSM architecture Reducing power in using different technologies using FSM architecture Himani Mitta l, Dinesh Chandra 2, Sampath Kumar 3,2,3 J.S.S.Academy of Technical Education,NOIDA,U.P,INDIA himanimit@yahoo.co.in, dinesshc@gmail.com,

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Page 1. Outline. Experimental Methodology. Modeling. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Modeling and Evaluation

Page 1. Outline. Experimental Methodology. Modeling. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Modeling and Evaluation Outline Fault Tolerant and Testable Computing Systems Modeling and Evaluation Copyright 2011 Daniel J. Sorin Duke University Experimental Methodology and Modeling Random Variables Probabilistic Models

More information

SRAM supply voltage scaling: a reliability perspective

SRAM supply voltage scaling: a reliability perspective SRAM supply voltage scaling: a reliability perspective Joint work with my advisors at UCB: Dr. Kannan Ramchandran and Dr. Jan Rabaey Animesh Kumar Electrical Engineering IIT Bombay, Outline Introduction

More information

Practical Applications of Reliability Theory

Practical Applications of Reliability Theory Practical Applications of Reliability Theory George Dodson Spallation Neutron Source Managed by UT-Battelle Topics Reliability Terms and Definitions Reliability Modeling as a tool for evaluating system

More information

System Reliability Analysis. CS6323 Networks and Systems

System Reliability Analysis. CS6323 Networks and Systems System Reliability Analysis CS6323 Networks and Systems Topics Combinatorial Models for reliability Topology-based (structured) methods for Series Systems Parallel Systems Reliability analysis for arbitrary

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

Chapter 6. a. Open Circuit. Only if both resistors fail open-circuit, i.e. they are in parallel.

Chapter 6. a. Open Circuit. Only if both resistors fail open-circuit, i.e. they are in parallel. Chapter 6 1. a. Section 6.1. b. Section 6.3, see also Section 6.2. c. Predictions based on most published sources of reliability data tend to underestimate the reliability that is achievable, given that

More information

Knowledge Discovery and Data Mining 1 (VO) ( )

Knowledge Discovery and Data Mining 1 (VO) ( ) Knowledge Discovery and Data Mining 1 (VO) (707.003) Map-Reduce Denis Helic KTI, TU Graz Oct 24, 2013 Denis Helic (KTI, TU Graz) KDDM1 Oct 24, 2013 1 / 82 Big picture: KDDM Probability Theory Linear Algebra

More information