WHILE designing VLSI chips, engineers need to take

Size: px
Start display at page:

Download "WHILE designing VLSI chips, engineers need to take"

Transcription

1 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS TurboMOR-RC: an Efficient Model Order Reduction Technique for RC Networks with Many Ports Denis Oyaro, Student Member, IEEE, and Piero Triverio, Member, IEEE Abstract Model order reduction (MOR) techniques play a crucial role in the computer-aided design of modern integrated circuits, where they are used to reduce the size of parasitic networks. Unfortunately, the efficient reduction of passive networks with many ports is still an open problem. Existing techniques do not scale well with the number of ports, and lead to dense reduced models that burden subsequent simulations. In this paper, we propose TurboMOR-RC, a novel MOR technique for the efficient reduction of passive RC networks. TurboMOR-RC is based on moment-matching at DC, achieved through efficient congruence transformations based on Householder reflections. A novel feature of TurboMOR-RC is the block-diagonal structure of the reduced models, that makes them more efficient than the dense models produced by existing techniques. Moreover, the model structure allows for an insightful interpretation of the reduction process in terms of system theory. Numerical results show that TurboMOR-RC scales more favourably than existing techniques in terms of reduction, simulation and memory consumption. Index Terms Model order reduction, many ports, moment matching, parasitics, partitioning. I. INTRODUCTION WHILE designing VLSI chips, engineers need to take into account the parasitic resistance, capacitance and inductance of signal- and power-delivery interconnects, in order to prevent signal and power integrity issues 3. Electromagnetic solvers are used to extract RC or RLC interconnect models, which are then connected to non-linear devices for system-level simulations. Unfortunately, parasitic networks can be very large, featuring a huge number of components, nodes and ports. Direct simulation involving such large networks is often prohibitive. Model order reduction (MOR) is frequently used to reduce parasitic models to a manageable size, and accelerate subsequent simulations. Several approaches to MOR have been proposed in the last decades, such as node elimination 4, Krylov subspaces 5, 6, and balancing 7. Krylov methods are widely used for parasitic reduction, since they are more scalable than balancing methods. Among them, 8 is one of the most popular and widely used Krylov algorithms. s success is due to its ability to guarantee the passivity of the reduced model, a mandatory property to prevent divergent Manuscript received...; revised... This work was supported in part by the Natural Sciences and Engineering Research Council of Canada (Discovery Grant program) and in part by the Canada Research Chairs program. D. Oyaro and P. Triverio are with the Edward S. Rogers Sr. Department of Electrical and Computer Engineering, University of Toronto, Toronto, M5S 3G4 Canada ( piero.triverio@utoronto.ca). transient simulations 9. Unfortunately, can become very inefficient when applied to networks with many ports. generates the reduced model through a congruence transformation with an orthogonal matrix that spans a suitable Krylov subspace. The orthogonal projection matrix is dense and can become very large when ports are many. Generating the reduced model becomes very consuming, since it involves products between large and dense matrices. In some cases, even storing the projection matrix can be challenging. Moreover, the obtained reduced model is dense, large and frequently slower than the original system. These issues affect most existing techniques and are an outstanding issue in MOR. A number of techniques have been recently proposed to address such challenges. Methods like SVDMOR, ESVD- MOR, RECMOR 3 and several others 4, 5 aim at reducing the number of ports before applying. This is done by exploiting the correlation that may exist between different ports. However, practical networks with many ports rarely exhibit a high degree of correlation 6. In 7 9, the problem of reducing networks with many ports is simplified by clustering inputs into small groups, and reducing each subsystem individually. These methods generate accurate and block-diagonal reduced models that are sparse. However, since subsystems are treated independently, passivity is not always guaranteed. Another method known as SIP offers a more efficient approach to moment matching for RC networks. Rather than explicitly constructing the projection matrix, sparse matrix manipulations are used to generate the reduced matrices directly using the Schur complement, an idea also used in PACT. This makes SIP more efficient than for large networks with many ports. However, SIP can match only two moments per expansion point. This level of accuracy is not always sufficient for practical applications, as we will show in Sec. IV. The authors in suggest using multi-point moment matching 5, 6, to achieve more accuracy. However, the obtained reduced matrices can be singular, and avoiding this issue does not seem to be trivial. In 3, the SparseRC method is proposed, combining graph-partitioning techniques 4 7 with a SIP-like reduction process. A divide and conquer strategy is used to partition the original system into smaller subsystems, then reduced separately with a method similar to SIP. The resulting model has the same partitioned structure as the original system. Such a reduction strategy is efficient in terms of memory and cpu Copyright (c) 5 IEEE. Personal use of this material is permitted. However, permission to use this material for any other purposes must be obtained from the IEEE by sending an to pubs-permissions@ieee.org.

2 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS for large networks, since the problem of reducing the large system simplifies to reducing smaller subsystems that can be managed efficiently. The generated model is also sparse. By default, SparseRC matches two moments around s =. Additional moments can be added after the first iteration by employing a standard Krylov-based moment-matching projection at arbitrary expansion points 3. While this provides a flexible way to improve accuracy, we demonstrate in this paper that a more efficient strategy can be devised, which also leads to a sparser model. In this paper, we propose TurboMOR-RC, a novel MOR technique for RC networks with many ports. TurboMOR-RC achieves moment-matching at DC without explicitly computing a dense projection matrix as in. Efficient and memory-conscious Householder reflections 8 are used to generate the reduced model, and match two moments per iteration. Differently from previous methods such as SIP, an arbitrary number of moments can be matched, providing full control on accuracy. TurboMOR-RC can be combined with partitioning 3 7 to reduce very large networks. A key feature of TurboMOR-RC is the block-diagonal structure of the reduced models, that addresses the poor efficiency of the dense models produced by general purpose techniques for moment matching, such as 8. The proposed method provides a novel way to generate block-sparse reduced order models, which can be nicely combined with the sparsity provided by partitioning 3 7 to maximize the efficiency of the reduced model. The block diagonal structure also lends itself to a novel and insightful interpretation of moment matching in terms of cascaded subsystems. The reduced models produced by TurboMOR-RC, like the models generated by,, 3, 4, are passive, retain the input-output structure of the original system, and can be synthesized into an equivalent RC netlist 9. Numerical tests demonstrate the superior scalability of TurboMOR-RC in terms of reduction, simulation, and memory consumption. The rest of the paper is organized as follows. In Sec. II, we state the problem and briefly review the foundations of moment matching. In Sec. III, we discuss the theoretical derivation and practical implementation of TurboMOR-RC. Sec. IV compares TurboMOR-RC against the state of the art. In Sec. V we draw our conclusions, and in the Appendix we provide some mathematical proofs. II. PROBLEM FORMULATION We consider a passive network made by resistors and capacitors with m nodes and p ports. Using nodal analysis 3, the network can be described in the Laplace domain by the systems of equations Gx(s) + scx(s) = Bu(s) y(s) = B T () x(s) where vectors u(s) R p and y(s) R p collect all port currents and port voltages, respectively. Vector x(s) R m contains all nodal voltages. Matrices G, C R m m are conductance and capacitance matrices, respectively. They are symmetric and positive semi-definite. Matrix B R m p maps input ports to the nodal equations, and T denotes transposition. The transfer function of () reads H(s) = B T (G + sc) B () The goal of MOR is to approximate () with a model of much lower order n m Ĝˆx(s) + s Ĉˆx(s) = ˆBu(s) ŷ(s) = ˆB (3) T ˆx(s) where Ĝ, Ĉ Rn n, ˆB R n p and ˆx(s) R n. This model must accurately capture the response of the original system across the frequency range of interest. One way of ensuring accuracy is through Padé approximation, also known as moment matching. Around s =, the Taylor series expansion of () reads H(s) = M + M s + M s +... (4) The coefficients M k are called moments of () at DC 5, 6, 8, and can be related to the systems matrices as M k = B T ( G C) k G B k =,,,... (5) The moments of the reduced model are defined similarly, as the Taylor expansion coefficients of the transfer function Ĥ(s) = ˆB T (Ĝ + sĉ) ˆB (6) of reduced model (3). The goal of moment matching is to generate a reduced model (3) that will match the first moments of the original system M k = ˆM k k =,..., q (7) up to a given order controlled by q. Since, for RC networks, moments are typically matched in pairs, we denote the number of matched moments as q. By increasing q, the reduced model will become more accurate, but also larger. While moment matching is not an optimal MOR method, it is one of the most popular approaches for electronic design automation. The high computational cost of optimal methods, such as Hankel MOR and truncated balanced realizations, makes them unsuitable for large circuit problems, 7. In, moment matching is performed with a congruence transformation applied to the matrices of the original system () Ĝ = Q T GQ, Ĉ = Q T CQ, ˆB = Q T B (8) The columns of Q R m qp span the Krylov subspace K q (A, R) = spanr, AR, A R,..., A q R} (9) where A = G C and R = G B. It can be shown that reduced model (8) matches the first q moments at DC of the original system. The reduced model is of size n = qp, and is passive by construction since congruence transformation (8) maintains the positive semi-definite nature of G and C. The projection matrix Q is constructed numerically with the block Arnoldi process 6, an orthogonalization procedure similar to the modified GramSchmidt process 8. Unfortunately, orthogonalization leads to a dense Q. As a result, when p

3 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS 3 is high, computing Q and projection products (8) can be very expensive. For very large networks, even storing Q becomes an issue, since its size can easily exceed several Gigabytes. Moreover, transformations (8) lead to a dense reduced model, which will burden any subsequent circuit simulation. These bottlenecks, which make existing methods quite inefficient for many-port networks, are tackled by the proposed method. III. PROPOSED METHOD In this section, we discuss the theoretical derivation of TurboMOR-RC and how it can be implemented for maximum efficiency. The method works recursively, matching two moments per iteration. We discuss the first two iterations in detail, before generalizing. A. Theoretical Derivation ) Matching Two Moments: The first iteration of the proposed method is analogous to,, 3. Nodes are first reordered in such a way that port nodes come first, followed by internal nodes. After reordering, system () reads C ( G + s G G C ) x C x y = B T x x = B u (a) (b) where x R p and x R m p denote port and internal node voltages, respectively. The symbol is used in symmetric matrices to denote the transpose of the symmetric block across the diagonal. For the purpose of shortening our notation, we do not indicate explicitly the dependency on s for input, output and state variables. Submatrix G describes the resistive couplings present between internal and port nodes. We eliminate this block through Gaussian elimination, using the congruence transformation (8) with Q given by Q () = I p K T K G I m p () Matrix K is the Cholesky factor 8 of G. For the being, we assume G to be positive definite (strictly). In Sec. III-C, we will discuss how a singular G can be handled. Matrix I p is the identity matrix of size p p. After the congruence, equations (a) and (b) become ( G ) () C () + s x B G C () C x () = u (a) y = B T x x () (b) where G () = G G T K T K G (3) C () = C G T K T K C C T K T K G + G T K T K C K T K G (4) C () = C C K T K G (5) u d dt u () Σ () x y () u () Σ () Fig.. System theory interpretation of (a) and (b). The original system has been decomposed into two subsystems Σ () and Σ (), decoupled at DC. With Gaussian elimination, all resistive couplings between port nodes and internal nodes have been eliminated, leaving only capacitive couplings. The obtained equations lend themselves to a useful interpretation in terms of system theory, depicted in Fig.. System (a)-(b) can be seen as the cascade of a system Σ () of order p Σ () : d dt G () x + sc () x = u () + B u y = B T x (6) and a system Σ () of order m p Σ () : G x () + sc x () = C () u() y () = (C () )T x () y (7) Only the first subsystem Σ () is directly connected to the input/output ports of the network. Subsystem Σ () is instead connected only to Σ (), through equations u() = sy () and u () = sx, which define derivatives. The coupling between the two subsystems is thus purely dynamical. At DC, the second system is completely decoupled from Σ () and the network ports, and has no influence on the transfer function H(s) between input u and output y. At low frequency, the coupling between the two is weak, and the overall system response is given mainly by Σ (). Therefore, the first subsystem alone can be interpreted as a reduced model of order p of the original system G () x + sc () x = B u (8) ŷ = B T x In the Appendix, we indeed prove that (8) matches the first two moments of the original system at s =. From an accuracy standpoint, the proposed reduced model is thus equivalent in size and accuracy to the models generated by other moment matching techniques. Its computation, however, requires less effort, since its matrices (3) and (4) can be computed cheaply using sparse matrix techniques. It is interesting to note that the idea of decoupling, used here to perform MOR, has several analogies with waveform relaxation 3, where subdivision into weakly-coupled systems is used to enable parallel computations.

4 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS 4 ) Matching Four Moments: In order to match more than two moments, the presence of Σ () must be taken into account. Instead of applying to Σ () as in 3, loosing efficiency, we show how additional moments can be efficiently matched by further decomposing Σ (). First, we apply a congruence transformation to (7) using Q = K T in (8) Im p z () + sk C K T z () = K C () u() y () = (C () )T K T z () (9) where x () = K T z (). This step turns G into the identity matrix, and does not require expensive computations since K is already available from the previous iteration. Then, with a series of Householder reflections 8, we compute the QR factorization of the input-to-state matrix in (9) (Q () ) T K C () R = () () where R () R p p is upper triangular and Q () R (m p) (m p) is an orthogonal matrix given by the product of Householder reflectors 8. After Q () is applied to (9) with a congruence transformation, the system will read ( Ip + s I m p where C () y () = C () C () R () ) x () x () T x () x () R () = u () (a) (b) C () = I m p (Q () ) T K C K T Q () I m p C () = I m p (Q () ) T K C K T Q () Ip C () = I p (Q () ) T K C K T Q () Ip () (3) (4) System (a)-(b) is now in the form (a)-(b), and the reduction process used in iteration can be applied again. System (a)-(b) can be seen as the cascade of a first system Σ () of order p Σ () : Ip x () + sc () x() = u () R () u () y () = (R () ) T x () and a second system Σ () of order m p Σ () Im p x () + sc () : x() = C () u() y () = (C () )T x () (5) (6) The two systems are only dynamically coupled, through equations u () = sy () and u () = sx (). Overall, the original system () is now decomposed into three blocks, all coupled dynamically, as shown in Fig.. If we retain the first two u d dt d dt u () Σ () x y () u () Σ () u () x () y () u () Σ () Fig.. Structure of the system obtained after two iterations of the proposed method. blocks, and neglect Σ (), we obtained a reduced model of order p ( G () I p + s C () R () C () d dt d dt ) x = x () ŷ = B T x x () y B u (7a) (7b) As shown in the Appendix, this model matches the first 4 moments of the original system. 3) Matching More Than Four Moments: Additional moments can be matched by iterating the proposed process, and further decompose subsystem Σ () in Fig.. This goal can be achieved by computing, at each iteration j 3, the QR decomposition (Q (j) ) T C (j ) R (j) = (9) of the input-to-state matrix of the innermost system (at iteration j = 3, matrix C () in (6)). The QR decomposition is obtained with a series of Householder reflectors that form the congruence matrix Q (j). The obtained system will have the same structure as (a)-(b), and can be seen as the cascade of two blocks. The first system Σ (j), of size p, will add two matched moments to the reduced model computed up to that point. The second system will be further decomposed if j < q. Otherwise, at the last iteration, it will be discarded. After q iterations, the obtained reduced model will have order pq, and will be in the form shown in equation (8) at the top of the next page. In the Appendix, we prove that the obtained model matches q moments of the original network. The proposed technique therefore leads to a reduced model of the same size and accuracy as, but in a more efficient way, which avoids the explicit construction of a huge and dense projection matrix. In comparison to SIP, that can match only two moments per frequency point, the proposed method can match an arbitrary number of moments, and does not suffer from the singularity issues of multipoint SIP. In TurboMOR-RC, additional moments are matched by iterating

5 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS 5 G () Ip... Ip x x (). x (q) C () + s R () C () R (q) C (q) x x (). x (q) = B. u (8) the same procedure instead of switching, after a first SIP-like iteration, to a different method ( or pole matching) as in SparseRC 3. Being able to match additional moments at the same expansion point avoids the need to compute a new Cholesky factorization of a shifted G matrix. With the proposed procedure, one can refine model accuracy using only the Cholesky factorization of G, which is already available from the first iteration. The iterative nature of the proposed algorithm also leads to an interesting feature of the proposed method, the blockdiagonal structure of the generated reduced model (8). Unlike, that generates dense models, the proposed method naturally leads to a block-sparse representation. This reduces the memory footprint of the models, and accelerates subsequent simulations, as we shall see in Sec. IV. Although models can be sparsified with an eigenvalue decomposition 6, this operation costs extra CPU cycles. Since the sparsity provided by TurboMOR-RC arises from the momentmatching process itself, it is independent from the sparsity produced by partitioning 3 7. Therefore, the two strategies to sparsify the reduced model can be nicely combined, as we will discuss in Sec. III-D. The obtained models are stable and passive by construction, since only congruence transformations like (8) have been used to generate the model matrices. The positive-definitive nature of G and C in () is thus preserved, which implies passivity and guarantees stable transient simulations 9. We also note that TurboMOR- RC preserved the matrix B in (a) and (b) that maps input ports to state equations. As discussed in 9, this property facilitates the connection of the reduced model to the surrounding components. Finally, the obtained reduced model can be converted into an RC equivalent circuit using, for example, RLCSYN 9, for seamless integration into existing tools for electronic design automation. B. Practical Implementation We now discuss how TurboMOR-RC can be implemented for maximum efficiency in terms of CPU and memory consumption. The Cholesky decomposition of G can be obtained using efficient routines for the factorization of sparse, positive-definitive matrices, such as the supernodal method 3 available in MATLAB s chol routine. The first congruence matrix () consists of sparse factors, that can be stored efficiently. The QR decomposition in () is computed with the Householder method. In our MATLAB implementation of TurboMOR-RC, we used a direct call to the compiled LAPACK routine DGEQRF 33, which returns the orthogonal Q (j) matrix in factored form 8. Such matrix is never computed explicitly, but kept in factored form, which Algorithm (Ĝ, Ĉ) = TurboMOR-RC(G, C, portvec, q) Input: G, C, vector of port nodes portvec, desired number of iterations q Output: Ĝ, Ĉ of the reduced model : Reorder nodes so that port nodes come first : K = chol(g ) Cholesky factorization 3: Compute G (), C() using equations (3), (4) 4: if q > then 5: Compute C () using equation (5) 6: Let C () = K C K T 7: for j =... q do 8: if j = then 9: (Q (j), R (j) ) = qr(k C () ) QR factoriz. : else : (Q (j), R (j) ) = qr(c (j ) ) QR factoriz. : end if C (j) 3: C (j) (Q (j) ) T C (j ) Q (j) Ip 4: end for 5: (Q (q), R (q) ) = qr(c (q ) ) QR factoriz. 6: C (q) I p (Q (q) ) T C (q ) Q (q) Ip 7: Form Ĝ and Ĉ using (8) 8: else 9: Ĝ = G (), Ĉ = C() : end if consists of a series of vectors representing elementary reflectors 8. The size of these vectors progressively decreases with iterations, in contrast to the orthogonal vectors that form the projection matrix in -like algorithms, whose size is always m. This feature, together with the sparsity of the other factors used in the reduction, contributes to the memory efficiency of the proposed algorithm. The LAPACK s routine DORMQR 33 can be used to compute products involving Q (j) directly from its factorization. Being large and dense, matrix C (j) is also never computed explicitly. Its factored form is always used, which is given by () for j = and by C (j) = I (m jp) (Q (j) ) T C (j ) Q (j) (3) I (m jp) for j >. Algorithm summarizes the entire flow of the proposed technique. C. On the Singularity of G Throughout the derivation of TurboMOR-RC, we assumed the block G in (a) to be strictly positive definite, hence

6 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS 6 invertible. When this is not the case, we adopt the solution proposed in 3 for SparseRC. The rows and columns that make G singular are promoted into the first set of equations, and not eliminated. Since the number of such rows is typically very low, this does not significantly increase the size of the obtained models. D. TurboMOR-RC with partitioning Graph partitioning techniques 3 7 can be integrated into TurboMOR-RC to reduce very large networks, such as the power grid models that we will consider in Sec. IV. A possible partitioning strategy, used in 3 and 4, is to partition the given network into subnetworks that interact only through a limited set of nodes, called separator nodes. An optimal partitioning can be found with the nested dissection algorithm nesdis from the SuiteSparse package 3. Once the network nodes are reordered according to the partitions identified by nesdis, the matrices in () assume a bordered block diagonal form 34. To illustrate this, consider a threecomponent partitioning of () G C G + s C G 3 G 3 G 3 C 3 C 3 C 3 x B = u (3) x x 3 B B 3 Blocks G, C and G, C correspond to two decoupled subsystems, that interact only through a set of separator nodes associated to G 3, via coupling matrices G 3, C 3, G 3, C 3. Subsystems and can be reduced individually. The coupling matrices are then updated accordingly. For instance, for reducing subsystem, we first form its nodal equations ( G + s G 3 G 3 C C 3 and then reorder its nodes such that ) x = C 3 x 3 B u (3) B 3 port nodes and separator nodes come first, and form the state vector x in (a); internal nodes come second, forming x in (a). Then, we perform the reduction as in Sec. III-A. After all subsystems have been reduced, the obtained reduced model will read Ĝ Ĝ Ĝ 3 Ĝ 3 G3 +s Ĉ Ĉ Ĉ 3 Ĉ 3 C3 ˆx ˆB ˆx = ˆB u x 3 (33) As numerical results will show, partitioning reduces the overall cost of the reduction, since TurboMOR-RC is applied to subsystems of smaller size 7. Additionally, it reduces the number of fill-ins in the reduced model, since the zero blocks in (3) are maintained in (33), making the reduced model block-sparse. However, if the reduction of the subsystems is performed with existing methods, the non-zero blocks in (33) will be full. With the proposed method, instead, such blocks will have the block-sparse structure of (8). TurboMOR-RC B 3 thus provides a novel way to generate block-sparse models within the moment matching process, that nicely complements the sparsity provided by partitioning. E. On the extension to the RLC case While beyond the scope of this paper, we briefly comment on the feasibility of extending the proposed method to the RLC case. In, it is shown how SIP can be generalized to such case, by replacing the Cholesky decomposition with a LU decomposition. Differently from the RC case, in the RLC case this process matches only one moment. While this is not sufficient from an accuracy standpoint, and using multiple expansion points is more costly than in the RC case, this result provides a starting point to generalize the proposed method to the RLC case. The main challenge in the RLC case is the non-symmetric nature of the circuit matrices. The extension to the RLC case will be the subject of future investigations. IV. NUMERICAL RESULTS The proposed TurboMOR-RC algorithm has been implemented in MATLAB, with direct calls to compiled LAPACK libraries for a few key operations, namely the QR decomposition of (), and the computation of the products with the Householder matrices Q (j). In this section, we compare the performance of TurboMOR-RC against 8 and SparseRC 3. Computations were performed on a 3.4 GHz Intel i7 CPU, with 6 GB of memory and MATLAB R3b. A. Reduction Time Table I shows the needed by the different methods to reduce various test networks. Example is an on-chip bus consisting of 8 signal lines. The bus was modelled with lumped RC segments, and has the characteristics of a global interconnect in the 65 nm technology node 35. Examples - 6 are power grid benchmarks obtained from 36. The original benchmarks include some inductors, which were neglected. A variable number of input current sources has been considered to investigate the scalability of the MOR methods with respect to port count. We first compare the proposed method without partitioning against, in order to assess its intrinsic efficiency in matching moments. For each test case, reduced order models have been generated to match, 4, and 6 moments. From the results in Table I, we observe that TurboMOR-RC is consistently faster than, up to 9.3 s. Savings are particularly high when order and port count are high, as in example 6. While takes hours and 43 minutes (987 s) to match 6 moments at DC, TurboMOR-RC achieves the same result in only 7.5 minutes (5 s). This speedup is due to the fact that TurboMOR-RC achieves moment matching without computing and storing a large projection matrix as does. Then, we compare TurboMOR-RC with partitioning against the recently-proposed SparseRC method 3. The approximate size of the partitions produced by nesdis was established by inspection of the network order and number of ports,

7 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS 7 TABLE I REDUCTION TIME AND REDUCED MODEL SIZE FOR THE DIFFERENT METHODS ON VARIOUS TEST NETWORKS. VARIABLES p AND m DENOTE THE NUMBER OF PORTS AND ORDER OF THE ORIGINAL SYSTEM, RESPECTIVELY. ALL TIMES ARE IN SECONDS. Examples q SparseRC Proposed Proposed w/partitioning Reduction Model size Reduction Model size w.r.t Reduction Model size w.r.t Reduction Model size w.r.t. On-chip bus p = 56 m = 38, 58. ibmpgt (RC) p = m = 5, ibmpgt (RC) p = 8 m = 63, ibmpgt (RC) p = m = 63, ibmpgt (RC) p = 5 m = 63, ibmpgt (RC) p = m = 63, PLL 3 p = 44 m = 38, n/a n/a n/a n/a n/a n/a n/a n/a n/a n/a n/a n/a n/a n/a 3 n/a n/a n/a n/a n/a n/a n/a based on the guidelines in 3. This approach was adopted since the determination of a optimal criterion to estimate the number of partitions in MOR is still an open problem 3. Two partitions were found sufficient for examples to 6, while eleven were necessary for the PLL example. From Table I, we observe that partitioning improves reduction substantially, especially for large networks (examples 3, 4, 5 and 6). Comparing the proposed method and SparseRC, we see that for two moments matched (q = ), both methods have almost the same reduction. This is expected since, in this case, the methods perform the same operations. However, when additional moments are matched (q = and q = 3), the proposed method is always faster than SparseRC, which employs a standard Krylov-based moment-matching projection to capture extra moments. While this gives the flexibility to add moments at other expansion points, it reduces efficiency. With the Householder transformations proposed in Sec. III-A, accuracy can be increased at a lower computational cost. B. Accuracy of the Reduced Models In this section we confirm that, from an accuracy standpoint, TurboMOR-RC is equivalent to, and that matching only two moments is not always sufficient to achieve satisfactory accuracy. A transient or AC simulation was performed for all test cases, and the results are summarized in Table II. The on-chip bus (example ) was loaded with ff capacitors and excited with a V peak-to-peak pulse train, with clock Voltage V Original TurboMOR RC Time ns Fig. 3. Transient response of the original system and the reduced models of the imbpgt power grid of Sec. IV-B, obtained with TurboMOR-RC and. The reduced models match two moments (q = ). frequency of 85 MHz and. ns of rise and fall. All power grids (examples -6) were run in the original testbench 3, where they are connected to.8 V power supplies and switching currents derived from actual chips. For the PLL benchmark (example 7), an AC simulation was performed as in 3. It should be noted that in this case, due to the network size, we could not simulate the original network. All simulations were performed with a circuit simulator written in MATLAB, using compiled libraries for the key numerical calculations. Since the simulator can directly load the matrices of the reduced model, and stamp them into the nodal equations

8 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS 8 TABLE II SIMULATION TIME FOR THE REDUCED MODELS OBTAINED WITH THE DIFFERENT METHODS. FOR EXAMPLES -6, A TRANSIENT ANALYSIS WAS PERFORMED. FOR EXAMPLE 7, AN AC ANALYSIS WAS PERFORMED. IN THE LATTER CASE, WE REPORT THE SIMULATION TIME PER FREQUENCY POINT. ALL TIMES IN SECONDS. VARIABLES p AND m DENOTE THE NUMBER OF PORTS AND ORDER OF THE ORIGINAL SYSTEM, RESPECTIVELY. Examples Sim. q Sim. SparseRC Proposed Proposed w/partitioning Max. Abs. error (mv) Sim. Max. Abs. error (mv) Sim. Max. Abs. error (mv) Sim. Max. Abs. error (mv). On-chip bus p = 56 m = 38, 58. ibmpgt p = m = 5, ibmpgt p = 8 m = 63, ibmpgt p = m = 63, ibmpgt p = 5 m = 63, ibmpgt p = m = 63, PLL 3 p = 44 m = 38, n/a n/a n/a n/a.65 n/a n/a n/a n/a n/a.64 n/a n/a n/a n/a n/a 9.8 n/a n/a n/a n/a n/a 9.65 n/a n/a 3 n/a n/a n/a 3.69 n/a n/a n/a n/a n/a 5. n/a n/a Maximum Absolute Error V 6 x Time ns TurboMOR RC Voltage V Original.794 TurboMOR RC Time ns Fig. 4. Error between the response of the original system and the response of the reduced models of the imbpgt power grid of Sec. IV-B, computed with and the proposed method. The reduced models match two moments (q = ). of the whole circuit, we did not realize the reduced model as an RC network with RLCSYN 9, although possible. This choice has no influence on the accuracy and run of the reduced models, since the nodal equations of the final circuit remain the same whether RLCSYN is used or not. We first consider the power grid ibmpgt from 3, which corresponds to example 3 in the tables. Fig. 3 shows the response obtained with the original system and the reduced Fig. 5. As in Fig. 3, but for four moments matched (q = ). models from TurboMOR-RC and, for the case of two moments matched (q = ). In Fig. 4, the maximum error for the two reduced models is depicted. Figures show that a reduced model with only two moments matched is not suitable for an accurate assessment of the voltage drop across the power grid. Indeed, the reduced models underestimate the voltage drop, by as much as 5 mv. In Fig. 5, we show the transient results obtained with and TurboMOR-RC models that match four moments (q = ). Now, both models lead to a very accurate prediction of the original system response. The worst case transient error is indeed below mv, as shown by Fig. 6.

9 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS 9 Maximum Absolute Error V 6 x Time ns Fig. 6. As in Fig. 4, but for four moments matched (q = ). TurboMOR RC This example shows that matching only two moments as in SIP is not accurate enough for some applications. The simulation errors provided in Table II for the other examples further support this conclusion. In examples and, the maximum absolute error decreases below mv only when six moments are matched (q = 3). For example, the mv error threshold corresponds to a relative error of %. From Figures 3 to 6, we see that and TurboMOR- RC provide results of similar accuracy, as also evident from the errors reported in Table II. This outcome is expected since, as demonstrated in the Appendix, both methods match the same number of moments for a given model size, thus providing the same level of accuracy. C. Efficiency of the Reduced Models We now evaluate the efficiency of the reduced models generated by the proposed method,, and SparseRC. In Table II, the simulation for the original network and the various reduced models is reported. Without partitioning, TurboMOR-RC produces reduced models that are consistently faster than models. This is attributed to the block diagonal structure of the reduced models, which reduces the cost of the LU factorizations used to perform subsequent transient simulations. TurboMOR-RC models are faster by up to five s. Comparing now the simulation s for the methods with partitioning (SparseRC and TurboMOR-RC with partitioning), we observe that when two moments are matched (q = ), the simulation s are essentially the same, which is expected since both methods adopt the same reduction strategy. However, when additional moments are matched, TurboMOR- RC delivers models that are always faster than those from SparseRC, because of higher sparsity. SparseRC uses a standard Krylov-based method to match additional moments, which introduces some dense blocks in the reduced model. For the largest network that we analysed (PLL, example 7), the proposed models reduce the simulation for q = 3 from 3.69 s to 5. s per frequency point. It is interesting to note that, in the first example, which consists of a bus of transmission lines with capacitive couplings, the proposed method without partitioning outperforms all other methods, including those with partitioning. This network, commonly found in integrated circuits, cannot be partitioned as effectively as the other circuits. Many separator nodes have to be introduced, resulting in a larger reduced model. The proposed method without partitioning gives the best simulation s, with an improvement of 3-4X with respect to SparseRC and.5x with respect to the proposed method with partitioning. This example demonstrates the usefulness of the proposed method, which improves MOR scalability for networks that are easy to partition (in combination with partitioning), as well as for those networks that cannot be partitioned efficiently. D. Comparison against SparseRC with frequency shifting SparseRC uses a standard Krylov-based method to match extra moments in addition to the two at s = matched with a SIP-like iteration. This approach gives the flexibility of matching moments at an arbitrary point in the complex plane, using the so-called frequency shifting. We compared SparseRC with frequency shifting against the proposed method for example in Table I. The comparison was performed for for 4 and 6 moments, and for different expansion points, as described in Table III. The expansion points were chosen with respect to the first cut-off frequency of the RC network, which is at ω c = 8 rad/s. Expansion points were located at the cut-off frequency, one decade before, and one decade after. The numerical results in Table III show that frequency shifting can lead to an increase in accuracy, depending on the choice of the expansion point. However, this comes at the expense of both a higher reduction and a higher simulation. The increase in reduction is due to the Cholesky factorization of G + s C needed at each new expansion point s. In contrast, the proposed method provides a way to increase accuracy without additional Cholesky factorizations. Since G + s C is less sparse than G, the additional factorizations required by frequency shifting cost more than the factorization of G, especially when many capacitive couplings are present. The lower simulation provided by the proposed method is due to the higher sparsity of the obtained reduced models. E. Scalability Finally, we investigate the scalability of TurboMOR-RC and existing methods with respect to network order and number of ports. Tests are performed on the first example (on-chip bus) for the case of six moments matched. ) Varying Number of Ports, Constant Node-to-Port Ratio: In the first test, we vary the number of signal lines and, consequently, ports. Since bus length is kept constant, the network order increases linearly with the number of ports. The node-to-port ratio remains constant at 5.5. Fig. 7 depicts the reduction for TurboMOR-RC (without partitioning) and versus the number of ports. We observe that TurboMOR-RC scales better than, and savings grow as port count increases. In Fig. 8, the analysis is repeated for the proposed method with partitioning and SparseRC. Also in this case, TurboMOR-RC scales better than existing methods.

10 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS TABLE III COMPARISON BETWEEN THE PROPOSED TURBOMOR-RC METHOD AND SPARSERC WITH FREQUENCY SHIFTING (SEE SEC. IV-D): NUMBER OF MOMENTS MATCHED, EXPANSION POINTS LOCATION, REDUCED MODEL SIZE, REDUCTION TIME, SIMULATION TIME, MAXIMUM SIMULATION ERROR. Method Moments matched Expansion points (rad/s) Model size Reduction (s) Simulation (s) Max. abs. error (mv) Proposed SparseRC 4, SparseRC 4, SparseRC 4, Proposed SparseRC 6, 8, TurboMOR RC 3 5 TurboMOR RC Reduction Time s 5 Reduction Time s Number of Ports Fig. 7. On-chip bus of Sec. IV-E: reduction for and TurboMOR- RC without partitioning vs number of ports. Both methods match six moments (q = 3). Reduction Time s TurboMOR RC with partitioning sparserc Number of Ports Fig. 8. On-chip bus of Sec. IV-E: reduction for SparseRC and TurboMOR-RC with partitioning vs number of ports. Both methods match six moments (q = 3). ) Varying Node-to-Port Ratio, Constant Number of Ports: In the second test, we keep the number of ports constant to 4, which corresponds to 5 lines. We increase the number of nodes and, consequently, order by making the bus longer. Fig. 9 shows the reduction for the two methods without partitioning (proposed and ) as a function of the number of nodes. Beyond a certain point, the reduction for increases dramatically, because the projection matrix becomes larger than the 6 GB of memory available on the machine. starts resorting to slow swap memory, and becomes very inefficient. With TurboMOR-RC, large projection matrices are avoided. The matrices used to perform the congruence transformations are either sparse (Cholesky Node to Port Ratio Fig. 9. On-chip bus of Sec. IV-E: reduction for and proposed method without partitioning, as a function of the ratio of network order and number of ports. Both methods match six moments (q = 3). Reduction Time s 5 5 TurboMOR RC with partitioning sparserc Node to Port Ratio Fig.. As in Fig. 9, but for SparseRC and proposed method with partitioning. Both methods match six moments (q = 3). factor K) or stored in efficient factored form (Householder reflectors in Q (j) ). This results in lower memory consumption, and allows TurboMOR-RC to achieve high scalability even for very large port counts. In Fig., the analysis is repeated for TurboMOR-RC with partitioning and SparseRC. The figure confirms the efficiency of the proposed models, which are faster than those generated by SparseRC especially for large systems with many ports. V. CONCLUSION We introduced TurboMOR-RC, a new model order reduction method for large RC networks with many ports. TurboMOR-RC achieves moment matching at DC via efficient

11 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS Householder transformations, sparse matrix factorizations, and graph partitioning techniques. Differently from popular methods such as, no large and dense projection matrices need to be computed nor stored. A key novelty of the proposed method is the ability to cheaply match an arbitrary number of moments at DC. This feature provides full control on accuracy, at a lower computational cost compared to existing techniques. The ability to match an arbitrary number of moments is particularly useful for networks that cannot be partitioned well, for which partitioning-based methods become inefficient. TurboMOR-RC generates block-sparse reduced models by construction, which run efficiently when imported in a circuit simulator. The block structure of the reduced models also enables a nice interpretation of moment matching in terms of system theory. TurboMOR-RC models are passive by construction, and can be cast into an equivalent RC circuit, for seamless integration into electronic design automation tools. Numerical results demonstrate the superior performance of TurboMOR-RC in reducing large passive networks with many ports, that arise more and more frequently in practice. APPENDIX A PROOF OF MOMENT MATCHING We prove that the reduced model (8), obtained after q iterations of the proposed method, matches q moments. We assume G invertible, since otherwise moments (4) are not defined. If G is singular, the proposed method will still work, but one cannot speak of moment matching. The starting point of the proof is realization (a)-(b), which is obtained from the original system (a)-(b) by means of congruence transformation (). Since () is invertible by construction, the transformation does not change the transfer function nor the system moments. The key argument of the proposed proof is the derivation of the relation between the moments M k of the original system (a)-(b) and the moments of the inner subsystem (7) extracted by TurboMOR-RC after one iteration. The transfer function of the original system (a)-(b) can be written as, 3 H(s) = B T where ( H (s) = G () + sc () s H (s) B (34) C () ) T (G + sc ) C () (35) is the transfer function of the inner subsystem Σ (). The moments of this subsystem are denoted with N l, so we have H (s) = + l= N l s l (36) After substituting (4) and (36) into (34), we obtain + k= + M k s k = B T G () + sc() N l s l+ B (37) l= For circuits, matrix B is typically a permutation of the identity matrix, and is thus invertible. We can thus rewrite (37) as + G () + sc() + N l s l+ B T M k s k = B (38) l= k= where superscript T denotes the inverse of the transpose. After exchanging the two series, we have + k= G () B T M k s k + C () B T M k s k+ + l= N l B T M k s k+l+ = B (39) Both sides of (39) are polynomials in s that, in order to be equal, must have the same coefficients. Imposing the equality between the coefficients of s we obtain G () B T M = B M = B T ( G () ) B (4) The inverse of G () exists since we G is non-singular. By equating the coefficients of s, we have M = B T (G () ) C () (G() ) B (4) Equations (4) and (4) show that the first two moments of the original system just depend on the matrices B, G () and C (). Such matrices are preserved in reduced model (8), which thus matches the first two moments of the original system. By equating the coefficients of a generic power s r in (39) for r, we obtain the recursive relation M r = B T ( G () + B T ) C () B T M r ( ) r G () l= N l B T M r l (4) Equation (4) shows that the moment M r of order r of the original system (a)-(b) depends on: ) the matrices B, G () and C() of the outer subsystem (6), which are always preserved in the reduced model (8); ) the moments N l of the inner subsystem (7) up to order r. Therefore, if one replaces the nested subsystem (7) with a reduced model that preserves its first r moments, then the overall model will match r moments of the original system. By iterating this argument, it is straightforward to prove that reduced model (8) matches q moments of the original system. The developed relation between the moments of the original system and the moments of its inner subsystem (7) plays a fundamental role in the proposed method. It allows us to match moments recursively, two at a, by iterative application of the same transformation to subsystems of decreasing size. If B is not full rank, a correlation between some inputs exists, which can be extracted before the reduction, making the reduced model smaller and leading to a full-rank B.

12 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS The proposed proof is also applicable to the reduced models obtained from other techniques such as SparseRC 3. The main differences between our proof and the one in 3 are two. First, the proof in 3 considers only the first two moments, while ours is general. Second, 3 proves moment matching for the moments of the network admittance. Our proof is instead based on the original impedance representation of network (). Our contribution therefore establishes the equivalence, from a moment-matching perspective, of fast MOR methods (proposed, SparseRC) and. REFERENCES H. H. Chen and J. S. Neely, Interconnect and circuit modeling techniques for full-chip power noise analysis, IEEE Trans. Adv. Packag., vol., no. 3, pp. 9 5, 998. J. M. Silva and L. M. Silveira, Issues in model reduction of power grids, in Vlsi-Soc: From Systems To Silicon. Springer, 7, pp S. R. Nassif, Power grid analysis benchmarks, in Proceedings of the 8 Asia and South Pacific Design Automation Conference. IEEE Computer Society Press, 8, pp B. N. Sheehan, TICER: Realizable reduction of extracted RC circuits, in Proceedings of the 999 IEEE/ACM international conference on Computer-aided design. IEEE Press, 999, pp E. J. Grimme, Krylov projection methods for model reduction, Ph.D. dissertation, University of Illinois at Urbana-Champaign, M. Celik, L. Pileggi, and A. Odabasioglu, IC interconnect analysis. Springer Science & Business Media,. 7 A. C. Antoulas, Approximation of large-scale dynamical systems. SIAM, 5, vol A. Odabasioglu, M. Celik, and L. T. Pileggi, Prima: passive reducedorder interconnect macromodeling algorithm, in Proceedings of the 997 IEEE/ACM international conference on Computer-aided design. IEEE Computer Society, 997, pp P. Triverio, S. Grivet-Talocia, M. S. Nakhla, F. G. Canavero, and R. Achar, Stability, causality, and passivity in electrical interconnect models, IEEE Trans. Adv. Packag., vol. 3, no. 4, pp , 7. J. M. Silva, J. F. Villena, P. Flores, and L. M. Silveira, Outstanding issues in model order reduction, in Scientific Computing in Electrical Engineering. Springer, 7, pp P. Feldmann, Model order reduction techniques for linear systems with large numbers of terminals, in Proceedings of the conference on Design, automation and test in Europe-Volume. IEEE Computer Society, 4, p P. Liu, S. X.-D. Tan, B. Yan, and B. McGaughy, An efficient terminal and model order reduction algorithm, Integration, the VLSI journal, vol. 4, no., pp. 8, 8. 3 P. Feldmann and T. Liu, Sparse and efficient reduced order modeling of linear subcircuits with large number of terminals, in IEEE/ACM International Conference on Computer Aided Design (ICCAD). IEEE, 4, pp P. Benner and A. Schneider, Model order and terminal reduction approaches via matrix decomposition and low rank approximation, in Scientific Computing in Electrical Engineering SCEE 8. Springer,, pp P. Li and W. Shi, Model order reduction of linear networks with massive ports via frequency-dependent port packing, in Proceedings of the 43rd annual Design Automation Conference. ACM, 6, pp B. Yan, S.-D. Tan, L. Zhou, J. Chen, and R. Shen, Decentralized and passive model order reduction of linear networks with massive ports, IEEE Trans. VLSI Syst., vol., no. 5, pp ,. 7 P. Benner, L. Feng, and E. B. Rudnyi, Using the superposition property for model reduction of linear systems with a large number of inputs, in Proceedings of the 8th International Symposium on Mathematical Theory of Networks & Systems, 8. 8 Z. Zhang, X. Hu, C.-K. Cheng, and N. Wong, A block-diagonal structured model reduction scheme for power grid networks, in Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE,, pp B. Nouri, M. S. Nakhla, and R. Achar, Efficient reduced-order macromodels of massively coupled interconnect structures via clustering, IEEE Trans. Compon., Packag., Manuf. Technol., vol. 3, no. 5, pp , 3. Z. Ye, D. Vasilyev, Z. Zhu, and J. R. Phillips, Sparse implicit projection (SIP) for reduction of general many-terminal networks, in Proceedings of the 8 IEEE/ACM International Conference on Computer-Aided Design. IEEE Press, 8, pp K. J. Kerns and A. T. Yang, Stable and efficient reduction of large, multiport RC networks by pole analysis via congruence transformations, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 6, no. 7, pp , 997. S. Tan and L. He, Advanced model order reduction techniques in VLSI design. Cambridge University Press, 7. 3 R. Ionuţiu, J. Rommes, and W. H. Schilders, SparseRC: sparsity preserving model reduction for RC circuits with many terminals, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 3, no., pp ,. 4 P. Miettinen, M. Honkala, J. Roos, and M. Valtonen, PartMOR: Partitioning-based realizable model-order reduction method for RLC circuits, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 3, no. 3, pp ,. 5 Y.-M. Lee, Y. Cao, T.-H. Chen, J. M. Wang, and C. C.-P. Chen, HiPRIME: hierarchical and passivity preserved interconnect macromodeling engine for RLKC power delivery, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 4, no. 6, pp , 5. 6 D. Li, S. X.-D. Tan, and L. Wu, Hierarchical Krylov subspace based reduction of large interconnects, INTEGRATION, the VLSI journal, vol. 4, no., pp. 93, 9. 7 P. Miettinen, M. Honkala, J. Roos, and M. Valtonen, Benefits of Partitioning in a Projection-based and Realizable Model-order Reduction Flow, Journal of Electronic Testing, vol. 3, no. 3, pp. 7 85, 4. 8 G. H. Golub and C. F. Van Loan, Matrix computations. JHU Press,, vol F. Yang, X. Zeng, Y. Su, and D. Zhou, RLCSYN: RLC equivalent circuit synthesis for structure-preserved reduced-order model of interconnect, in International Symposium on Circuits and Systems (ISCAS). IEEE, 7, pp C.-W. Ho, A. E. Ruehli, and P. A. Brennan, The modified nodal approach to network analysis, IEEE Trans. Circuits Syst., vol., no. 6, pp , M. D. Al-Khaleel, M. J. Gander, and A. E. Ruehli, Optimization of transmission conditions in waveform relaxation techniques for RC circuits, SIAM Journal on Numerical Analysis, vol. 5, no., pp. 76, 4. 3 T. Davis, SuiteSparse, html. 33 Netlib, LAPACK, 34 A. Zecevic and D. Siljak, Balanced decompositions of sparse systems for multilevel parallel processing, IEEE Trans. Circuits Syst., vol. 4, no. 3, pp. 33, Nanoscale Integration and Modeling (NIMO) Group, Predictive technology model, 36 Z. Li, P. Li, and S. R. Nassif, IBM Power Grid Benchmarks, http: //dropzone.tamu.edu/ pli/pgbench/. Denis Oyaro (S 5) received the B.Sc. degree in Electronic and Computer Engineering from Politecnico di Torino in, and the M.A.Sc degree in Electrical and Computer Engineering from the University of Toronto in 5. His research interests are in mathematical modelling and simulation algorithms for the computer aided design of electronic and electromagnetic systems.

13 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS 3 Piero Triverio (S 6 M 9) received the M.Sc. and Ph.D. degrees in Electronic Engineering from Politecnico di Torino, Italy in 5 and 9, respectively. He is an Assistant Professor with the Department of Electrical and Computer Engineering at the University of Toronto, where he holds the Canada Research Chair in Modeling of Electrical Interconnects. From 9 to, he was a research assistant with the Electromagnetic Compatibility group at Politecnico di Torino, Italy. He has been a visiting researcher at Carleton University in Ottawa, Canada, and at the Massachusetts Institute of Technology in Boston. His research interests include signal integrity, electromagnetic compatibility, and model order reduction. He received several international awards, including the 7 Best Paper Award of the IEEE Transactions on Advanced Packaging, the EuMIC Young Engineer Prize at the 3th European Microwave Week, and the Best Paper Award at the IEEE 7th Topical Meeting on Electrical Performance of Electronic Packaging (EPEP 8).

EE5900 Spring Lecture 5 IC interconnect model order reduction Zhuo Feng

EE5900 Spring Lecture 5 IC interconnect model order reduction Zhuo Feng EE59 Spring Parallel VLSI CAD Algorithms Lecture 5 IC interconnect model order reduction Zhuo Feng 5. Z. Feng MU EE59 In theory we can apply moment matching for any order of approximation But in practice

More information

Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities

Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities Michel Nakhla Carleton University Canada Model Reduction for Complex Dynamical Systems Berlin 2010 EMI Delay Crosstalk Reflection

More information

Fast Analysis of Structured Power Grid by Triangularization Based Structure Preserving Model Order Reduction

Fast Analysis of Structured Power Grid by Triangularization Based Structure Preserving Model Order Reduction 1 Fast Analysis of Structured Power Grid by Triangularization Based Structure Preserving Model Order Reduction Hao Yu, Yiyu Shi, and Lei He Electrical Engineering Department University of California, Los

More information

Stability and Passivity of the Super Node Algorithm for EM Modeling of IC s

Stability and Passivity of the Super Node Algorithm for EM Modeling of IC s Stability and Passivity of the Super Node Algorithm for EM Modeling of IC s M.V. Ugryumova and W.H.A. Schilders Abstract The super node algorithm performs model order reduction based on physical principles.

More information

Identification of Electrical Circuits for Realization of Sparsity Preserving Reduced Order Models

Identification of Electrical Circuits for Realization of Sparsity Preserving Reduced Order Models Identification of Electrical Circuits for Realization of Sparsity Preserving Reduced Order Models Christof Kaufmann 25th March 2010 Abstract Nowadays very-large scale integrated circuits contain a large

More information

Practical Considerations For Passive Reduction of RLC Circuits

Practical Considerations For Passive Reduction of RLC Circuits Practical Considerations For Passive Reduction of RLC Circuits Altan Odabasioglu and Mustafa Celik {altan, celik}@montereydesign.com Monterey Design Systems, Inc. Sunnyvale, CA 94089 Lawrence T. Pileggi

More information

Sparse Implicit Projection (SIP) for Reduction of General Many-Terminal Networks

Sparse Implicit Projection (SIP) for Reduction of General Many-Terminal Networks Sparse mplicit Projection (SP) for Reduction of General Many-Terminal Networks Zuochang Ye, Dmitry Vasilyev, Zhenhai Zhu, Joel R. Phillips Cadence Research Laboratories Massachusetts nstitute of Technology

More information

Two-Layer Network Equivalent for Electromagnetic Transients

Two-Layer Network Equivalent for Electromagnetic Transients 1328 IEEE TRANSACTIONS ON POWER DELIVERY, VOL. 18, NO. 4, OCTOBER 2003 Two-Layer Network Equivalent for Electromagnetic Transients Mohamed Abdel-Rahman, Member, IEEE, Adam Semlyen, Life Fellow, IEEE, and

More information

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng

Parallel VLSI CAD Algorithms. Lecture 1 Introduction Zhuo Feng Parallel VLSI CAD Algorithms Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5900spring2012.html

More information

Second-Order Balanced Truncation for Passive Order Reduction of RLCK Circuits

Second-Order Balanced Truncation for Passive Order Reduction of RLCK Circuits IEEE RANSACIONS ON CIRCUIS AND SYSEMS II, VOL XX, NO. XX, MONH X Second-Order Balanced runcation for Passive Order Reduction of RLCK Circuits Boyuan Yan, Student Member, IEEE, Sheldon X.-D. an, Senior

More information

A Circuit Reduction Technique for Finding the Steady-State Solution of Nonlinear Circuits

A Circuit Reduction Technique for Finding the Steady-State Solution of Nonlinear Circuits IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 48, NO. 12, DECEMBER 2000 2389 A Circuit Reduction Technique for Finding the Steady-State Solution of Nonlinear Circuits Emad Gad, Student Member,

More information

Wavelet-Based Passivity Preserving Model Order Reduction for Wideband Interconnect Characterization

Wavelet-Based Passivity Preserving Model Order Reduction for Wideband Interconnect Characterization Wavelet-Based Passivity Preserving Model Order Reduction for Wideband Interconnect Characterization Mehboob Alam, Arthur Nieuwoudt, and Yehia Massoud Rice Automated Nanoscale Design Group Rice University,

More information

Model order reduction of electrical circuits with nonlinear elements

Model order reduction of electrical circuits with nonlinear elements Model order reduction of electrical circuits with nonlinear elements Andreas Steinbrecher and Tatjana Stykel 1 Introduction The efficient and robust numerical simulation of electrical circuits plays a

More information

AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS

AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS Progress In Electromagnetics Research M, Vol. 23, 53 63, 2012 AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS T.-S. Nguyen *, J.-M. Guichon, O. Chadebec, G. Meunier, and

More information

Incomplete Cholesky preconditioners that exploit the low-rank property

Incomplete Cholesky preconditioners that exploit the low-rank property anapov@ulb.ac.be ; http://homepages.ulb.ac.be/ anapov/ 1 / 35 Incomplete Cholesky preconditioners that exploit the low-rank property (theory and practice) Artem Napov Service de Métrologie Nucléaire, Université

More information

Wideband Modeling of RF/Analog Circuits via Hierarchical Multi-Point Model Order Reduction

Wideband Modeling of RF/Analog Circuits via Hierarchical Multi-Point Model Order Reduction 2E-1 Wideband Modeling of RF/Analog Circuits via Hierarchical Multi-Point Model Order Reduction Zhenyu Qi, Sheldon X.-D. Tan Department of Electrical Engineering, University of California, Riverside, CA

More information

Passive Interconnect Macromodeling Via Balanced Truncation of Linear Systems in Descriptor Form

Passive Interconnect Macromodeling Via Balanced Truncation of Linear Systems in Descriptor Form Passive Interconnect Macromodeling Via Balanced Truncation of Linear Systems in Descriptor Form Boyuan Yan, Sheldon X.-D. Tan,PuLiu and Bruce McGaughy Department of Electrical Engineering, University of

More information

An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits

An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits Design Automation Group An Efficient Graph Sparsification Approach to Scalable Harmonic Balance (HB) Analysis of Strongly Nonlinear RF Circuits Authors : Lengfei Han (Speaker) Xueqian Zhao Dr. Zhuo Feng

More information

AMS526: Numerical Analysis I (Numerical Linear Algebra for Computational and Data Sciences)

AMS526: Numerical Analysis I (Numerical Linear Algebra for Computational and Data Sciences) AMS526: Numerical Analysis I (Numerical Linear Algebra for Computational and Data Sciences) Lecture 19: Computing the SVD; Sparse Linear Systems Xiangmin Jiao Stony Brook University Xiangmin Jiao Numerical

More information

Advanced Computational Methods for VLSI Systems. Lecture 4 RF Circuit Simulation Methods. Zhuo Feng

Advanced Computational Methods for VLSI Systems. Lecture 4 RF Circuit Simulation Methods. Zhuo Feng Advanced Computational Methods for VLSI Systems Lecture 4 RF Circuit Simulation Methods Zhuo Feng 6. Z. Feng MTU EE59 Neither ac analysis nor pole / zero analysis allow nonlinearities Harmonic balance

More information

Short Papers. Efficient In-Package Decoupling Capacitor Optimization for I/O Power Integrity

Short Papers. Efficient In-Package Decoupling Capacitor Optimization for I/O Power Integrity 734 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 26, NO. 4, APRIL 2007 Short Papers Efficient In-Package Decoupling Capacitor Optimization for I/O Power Integrity

More information

An Optimum Fitting Algorithm for Generation of Reduced-Order Models

An Optimum Fitting Algorithm for Generation of Reduced-Order Models An Optimum Fitting Algorithm for Generation of Reduced-Order Models M.M. Gourary 1, S.G. Rusakov 1, S.L. Ulyanov 1, M.M. Zharov 1, B.J. Mulvaney 2 1 IPPM, Russian Academy of Sciences, Moscow 1523, e-mail:

More information

Structure-Preserving Model Order Reduction of RCL Circuit Equations

Structure-Preserving Model Order Reduction of RCL Circuit Equations Structure-Preserving Model Order Reduction of RCL Circuit Equations Roland W. Freund Department of Mathematics, University of California at Davis, One Shields Avenue, Davis, CA 95616, U.S.A. freund@math.ucdavis.edu

More information

Some of the different forms of a signal, obtained by transformations, are shown in the figure. jwt e z. jwt z e

Some of the different forms of a signal, obtained by transformations, are shown in the figure. jwt e z. jwt z e Transform methods Some of the different forms of a signal, obtained by transformations, are shown in the figure. X(s) X(t) L - L F - F jw s s jw X(jw) X*(t) F - F X*(jw) jwt e z jwt z e X(nT) Z - Z X(z)

More information

Fast Simulation of VLSI Interconnects

Fast Simulation of VLSI Interconnects Fast Simulation of VLSI Interconnects Jitesh Jain, Cheng-Kok Koh, and Venkataramanan Balakrishnan School of Electrical and Computer Engineering Purdue University, West Lafayette, IN 4797-1285 {jjain,chengkok,ragu}@ecn.purdue.edu

More information

Scientific Computing with Case Studies SIAM Press, Lecture Notes for Unit VII Sparse Matrix

Scientific Computing with Case Studies SIAM Press, Lecture Notes for Unit VII Sparse Matrix Scientific Computing with Case Studies SIAM Press, 2009 http://www.cs.umd.edu/users/oleary/sccswebpage Lecture Notes for Unit VII Sparse Matrix Computations Part 1: Direct Methods Dianne P. O Leary c 2008

More information

Automatic Generation of Geometrically Parameterized Reduced Order Models for Integrated Spiral RF-Inductors

Automatic Generation of Geometrically Parameterized Reduced Order Models for Integrated Spiral RF-Inductors Automatic Generation of Geometrically Parameterized Reduced Order Models for Integrated Spiral RF-Inductors Luca Daniel Massachusetts Institute of Technology Dept. of Elect. Engin. and Comp. Science Research

More information

MODEL-order reduction is emerging as an effective

MODEL-order reduction is emerging as an effective IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 52, NO. 5, MAY 2005 975 Model-Order Reduction by Dominant Subspace Projection: Error Bound, Subspace Computation, and Circuit Applications

More information

Scientific Computing

Scientific Computing Scientific Computing Direct solution methods Martin van Gijzen Delft University of Technology October 3, 2018 1 Program October 3 Matrix norms LU decomposition Basic algorithm Cost Stability Pivoting Pivoting

More information

Numerical Linear Algebra

Numerical Linear Algebra Numerical Linear Algebra Decompositions, numerical aspects Gerard Sleijpen and Martin van Gijzen September 27, 2017 1 Delft University of Technology Program Lecture 2 LU-decomposition Basic algorithm Cost

More information

Passive reduced-order modeling via Krylov-subspace methods

Passive reduced-order modeling via Krylov-subspace methods Passive reduced-order modeling via Krylov-subspace methods Roland W. Freund Bell Laboratories Room 2C 525 700 Mountain Avenue Murray Hill, New Jersey 07974 0636, U.S.A. freund@research.bell-labs.com Keywords:

More information

Program Lecture 2. Numerical Linear Algebra. Gaussian elimination (2) Gaussian elimination. Decompositions, numerical aspects

Program Lecture 2. Numerical Linear Algebra. Gaussian elimination (2) Gaussian elimination. Decompositions, numerical aspects Numerical Linear Algebra Decompositions, numerical aspects Program Lecture 2 LU-decomposition Basic algorithm Cost Stability Pivoting Cholesky decomposition Sparse matrices and reorderings Gerard Sleijpen

More information

A Trajectory Piecewise-Linear Approach to Model Order Reduction and Fast Simulation of Nonlinear Circuits and Micromachined Devices

A Trajectory Piecewise-Linear Approach to Model Order Reduction and Fast Simulation of Nonlinear Circuits and Micromachined Devices A Trajectory Piecewise-Linear Approach to Model Order Reduction and Fast Simulation of Nonlinear Circuits and Micromachined Devices Michał Rewieński, Jacob White Department of Electrical Engineering and

More information

Efficient Optimization of In-Package Decoupling Capacitors for I/O Power Integrity

Efficient Optimization of In-Package Decoupling Capacitors for I/O Power Integrity 1 Efficient Optimization of In-Package Decoupling Capacitors for I/O Power Integrity Jun Chen and Lei He Electrical Engineering Department, University of California, Los Angeles Abstract With high integration

More information

Passive Reduced Order Multiport Modeling: The Padé-Laguerre, Krylov-Arnoldi-SVD Connection

Passive Reduced Order Multiport Modeling: The Padé-Laguerre, Krylov-Arnoldi-SVD Connection Passive Reduced Order Multiport Modeling: The Padé-Laguerre, Krylov-Arnoldi-SVD Connection Luc Knockaert and Daniel De Zutter 1 Abstract A reduced order multiport modeling algorithm based on the decomposition

More information

Model Order-Reduction of RC(L) Interconnect including Variational Analysis

Model Order-Reduction of RC(L) Interconnect including Variational Analysis _ Model Order-Reduction of RC(L) Interconnect including Variational Analysis Ying Liu, Lawrence T. Pileggi and Andrzej J. Strojwas Department of Electrical and Computer Engineering Carnegie Mellon University

More information

Notes for course EE1.1 Circuit Analysis TOPIC 10 2-PORT CIRCUITS

Notes for course EE1.1 Circuit Analysis TOPIC 10 2-PORT CIRCUITS Objectives: Introduction Notes for course EE1.1 Circuit Analysis 4-5 Re-examination of 1-port sub-circuits Admittance parameters for -port circuits TOPIC 1 -PORT CIRCUITS Gain and port impedance from -port

More information

Combined FDTD/Macromodel Simulation of Interconnected Digital Devices

Combined FDTD/Macromodel Simulation of Interconnected Digital Devices Combined FDTD/Macromodel Simulation of Interconnected Digital Devices S. Grivet-Talocia, I. S. Stievano, I. A. Maio, F. G. Canavero Dip. Elettronica, Politecnico di Torino, Torino, Italy (E-mail: grivet@polito.it)

More information

Automatic Generation of Geometrically Parameterized Reduced Order Models for Integrated Spiral RF-Inductors

Automatic Generation of Geometrically Parameterized Reduced Order Models for Integrated Spiral RF-Inductors Automatic Generation of Geometrically Parameterized Reduced Order Models for Integrated Spiral RF-Inductors L. Daniel and J. White Department of Electrical Engineering and Computer Science Research Lab

More information

Enhancing Scalability of Sparse Direct Methods

Enhancing Scalability of Sparse Direct Methods Journal of Physics: Conference Series 78 (007) 0 doi:0.088/7-6596/78//0 Enhancing Scalability of Sparse Direct Methods X.S. Li, J. Demmel, L. Grigori, M. Gu, J. Xia 5, S. Jardin 6, C. Sovinec 7, L.-Q.

More information

Enforcement Passivity. Frequency Data. Wenliang Tseng, Sogo Hsu, Frank Y.C. Pai and Scott C.S. Li. Asian IBIS Summit, Taipei, Taiwan November 12, 2010

Enforcement Passivity. Frequency Data. Wenliang Tseng, Sogo Hsu, Frank Y.C. Pai and Scott C.S. Li. Asian IBIS Summit, Taipei, Taiwan November 12, 2010 Enforcement Passivity of S-parameter S Sampled Frequency Data Wenliang Tseng, Sogo Hsu, Frank Y.C. Pai and Scott C.S. Li Asian IBIS Summit, Taipei, Taiwan November 12, 2010 Agenda Causality and passivity

More information

Improvements for Implicit Linear Equation Solvers

Improvements for Implicit Linear Equation Solvers Improvements for Implicit Linear Equation Solvers Roger Grimes, Bob Lucas, Clement Weisbecker Livermore Software Technology Corporation Abstract Solving large sparse linear systems of equations is often

More information

A Novel Single-Source Surface Integral Method to Compute Scattering from Dielectric Objects

A Novel Single-Source Surface Integral Method to Compute Scattering from Dielectric Objects SUBMITTED TO IEEE ANTENNAS AND WIRELESS PROPAGATION LETTERS ON NOVEMBER 18, 2016 1 A Novel Single-Source Surface Integral Method to Compute Scattering from Dielectric Objects Utkarsh R. Patel, Student

More information

Statistical approach in complex circuits by a wavelet based Thevenin s theorem

Statistical approach in complex circuits by a wavelet based Thevenin s theorem Proceedings of the 11th WSEAS International Conference on CIRCUITS, Agios Nikolaos, Crete Island, Greece, July 23-25, 2007 185 Statistical approach in complex circuits by a wavelet based Thevenin s theorem

More information

AS VERY large scale integration (VLSI) technology advances

AS VERY large scale integration (VLSI) technology advances 1496 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 8, AUGUST 2006 Wideband Passive Multiport Model Order Reduction and Realization of RLCM Circuits Zhenyu

More information

Efficient Incremental Analysis of On-Chip Power Grid via Sparse Approximation

Efficient Incremental Analysis of On-Chip Power Grid via Sparse Approximation Efficient Incremental Analysis of On-Chip Power Grid via Sparse Approximation Pei Sun and Xin Li ECE Department, Carnegie Mellon University 5000 Forbes Avenue, Pittsburgh, PA 1513 {peis, xinli}@ece.cmu.edu

More information

Bordered Block-Diagonal Preserved Model-Order Reduction for RLC Circuits

Bordered Block-Diagonal Preserved Model-Order Reduction for RLC Circuits Xu Lei Bordered Block-Diagonal Preserved Model-Order Reduction for RLC Circuits School of Electrical Engineering Thesis submitted for examination for the degree of Master of Science in Technology. Espoo

More information

EINDHOVEN UNIVERSITY OF TECHNOLOGY Department of Mathematics and Computer Science. CASA-Report January 2011

EINDHOVEN UNIVERSITY OF TECHNOLOGY Department of Mathematics and Computer Science. CASA-Report January 2011 EINDHOVEN UNIVESITY OF TECHNOLOGY Department of Mathematics and Computer Science CASA-eport 11-05 January 2011 SparseC: sparsity preserving model reduction for C circuits with many terminals by. Ionutiu,

More information

Electromagnetic Modeling and Signal Integrity Simulation of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards

Electromagnetic Modeling and Signal Integrity Simulation of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards Electromagnetic Modeling and Signal Integrity Simulation of Power/Ground Networks in High Speed Digital Packages and Printed Circuit Boards Frank Y. Yuan Viewlogic Systems Group, Inc. 385 Del Norte Road

More information

Model Order Reduction

Model Order Reduction Model Order Reduction Wil Schilders NXP Semiconductors & TU Eindhoven November 26, 2009 Utrecht University Mathematics Staff Colloquium Outline Introduction and motivation Preliminaries Model order reduction

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 3, MARCH

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 3, MARCH IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 3, MARCH 2015 409 Efficient Transient Analysis of Power Delivery Network With Clock/Power Gating by Sparse Approximation

More information

The antitriangular factorisation of saddle point matrices

The antitriangular factorisation of saddle point matrices The antitriangular factorisation of saddle point matrices J. Pestana and A. J. Wathen August 29, 2013 Abstract Mastronardi and Van Dooren [this journal, 34 (2013) pp. 173 196] recently introduced the block

More information

Model Order Reduction using SPICE Simulation Traces. Technical Report

Model Order Reduction using SPICE Simulation Traces. Technical Report Model Order Reduction using SPICE Simulation Traces Paul Winkler, Henda Aridhi, and Sofiène Tahar Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada pauwink@web.de,

More information

1396 IEEE TRANSACTIONS ON POWER DELIVERY, VOL. 24, NO. 3, JULY /$ IEEE

1396 IEEE TRANSACTIONS ON POWER DELIVERY, VOL. 24, NO. 3, JULY /$ IEEE 1396 IEEE TRANSACTIONS ON POWER DELIVERY, VOL. 24, NO. 3, JULY 2009 Fast Realization of the Modal Vector Fitting Method for Rational Modeling With Accurate Representation of Small Eigenvalues Bjørn Gustavsen,

More information

Recycling Krylov Subspaces for Solving Linear Systems with Successively Changing Right-Hand Sides Arising in Model Reduction

Recycling Krylov Subspaces for Solving Linear Systems with Successively Changing Right-Hand Sides Arising in Model Reduction Recycling Krylov Subspaces for Solving Linear Systems with Successively Changing Right-Hand Sides Arising in Model Reduction Peter Benner and Lihong Feng Abstract We discuss the numerical solution of successive

More information

Comparison of Model Reduction Methods with Applications to Circuit Simulation

Comparison of Model Reduction Methods with Applications to Circuit Simulation Comparison of Model Reduction Methods with Applications to Circuit Simulation Roxana Ionutiu, Sanda Lefteriu, and Athanasios C. Antoulas Department of Electrical and Computer Engineering, Rice University,

More information

Applications of Randomized Methods for Decomposing and Simulating from Large Covariance Matrices

Applications of Randomized Methods for Decomposing and Simulating from Large Covariance Matrices Applications of Randomized Methods for Decomposing and Simulating from Large Covariance Matrices Vahid Dehdari and Clayton V. Deutsch Geostatistical modeling involves many variables and many locations.

More information

A New Simulation Technique for Periodic Small-Signal Analysis

A New Simulation Technique for Periodic Small-Signal Analysis A New Simulation Technique for Periodic Small-Signal Analysis MM.Gourary,S.G.Rusakov,S.L.Ulyanov,M.M.Zharov IPPM, Russian Academy of Sciences, Moscow, Russia B. J. Mulvaney Motorola Inc., Austin, Texas,

More information

A comparison of model reduction techniques from structural dynamics, numerical mathematics and systems and control

A comparison of model reduction techniques from structural dynamics, numerical mathematics and systems and control A comparison of model reduction techniques from structural dynamics, numerical mathematics and systems and control B. Besselink a, A. Lutowska b, U. Tabak c, N. van de Wouw a, H. Nijmeijer a, M.E. Hochstenbach

More information

Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method

Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 1, JANUARY 2003 49 Fast On-Chip Inductance Simulation Using a Precorrected-FFT Method Haitian Hu, Member, IEEE,

More information

WHEN studying distributed simulations of power systems,

WHEN studying distributed simulations of power systems, 1096 IEEE TRANSACTIONS ON POWER SYSTEMS, VOL 21, NO 3, AUGUST 2006 A Jacobian-Free Newton-GMRES(m) Method with Adaptive Preconditioner and Its Application for Power Flow Calculations Ying Chen and Chen

More information

1420 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 9, SEPTEMBER 2005

1420 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 9, SEPTEMBER 2005 14 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 4, NO. 9, SEPTEMBER 5 Sparse Transformations and Preconditioners for 3-D Capacitance Extraction Shu Yan, Student Member,

More information

HIGH-PERFORMANCE circuits consume a considerable

HIGH-PERFORMANCE circuits consume a considerable 1166 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL 17, NO 11, NOVEMBER 1998 A Matrix Synthesis Approach to Thermal Placement Chris C N Chu D F Wong Abstract In this

More information

Theoretical Computer Science

Theoretical Computer Science Theoretical Computer Science 412 (2011) 1484 1491 Contents lists available at ScienceDirect Theoretical Computer Science journal homepage: wwwelseviercom/locate/tcs Parallel QR processing of Generalized

More information

Efficient Per-Nonlinearity Distortion Analysis for Analog and RF Circuits

Efficient Per-Nonlinearity Distortion Analysis for Analog and RF Circuits IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 10, OCTOBER 2003 1297 Efficient Per-Nonlinearity Distortion Analysis for Analog and RF Circuits Peng Li, Student

More information

Basic. Theory. ircuit. Charles A. Desoer. Ernest S. Kuh. and. McGraw-Hill Book Company

Basic. Theory. ircuit. Charles A. Desoer. Ernest S. Kuh. and. McGraw-Hill Book Company Basic C m ш ircuit Theory Charles A. Desoer and Ernest S. Kuh Department of Electrical Engineering and Computer Sciences University of California, Berkeley McGraw-Hill Book Company New York St. Louis San

More information

The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization

The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization Jia Wang, Shiyan Hu Department of Electrical and Computer Engineering Michigan Technological University Houghton, Michigan

More information

7-9 October 2009, Leuven, Belgium Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE

7-9 October 2009, Leuven, Belgium Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE Electro-Thermal Simulation of Multi-channel Power Devices on PCB with SPICE Torsten Hauck*, Wim Teulings*, Evgenii Rudnyi ** * Freescale Semiconductor Inc. ** CADFEM GmbH Abstract In this paper we will

More information

Qualification of tabulated scattering parameters

Qualification of tabulated scattering parameters Qualification of tabulated scattering parameters Stefano Grivet Talocia Politecnico di Torino, Italy IdemWorks s.r.l. stefano.grivet@polito.it 4 th IEEE Workshop on Signal Propagation on Interconnects

More information

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators Hao Zhuang 1, Wenjian Yu 2, Ilgweon Kang 1, Xinan Wang 1, and Chung-Kuan Cheng 1 1. University of California, San

More information

Recent developments for MOR in the electronics industry

Recent developments for MOR in the electronics industry Recent developments for MOR in the electronics industry Wil Schilders Reduced Order Models in Computational Science and Engineering Aachen, January 30-31, 2014 Full proposal oc-2013-1-15312 for a new COST

More information

Block oriented model order reduction of interconnected systems

Block oriented model order reduction of interconnected systems INTERNATIONAL JOURNAL OF NUMERICAL MODELLING: ELECTRONIC NETWORKS, DEVICES AND FIELDS [Version: 2002/09/18 v1.01] Block oriented model order reduction of interconnected systems Jorge Fernández Villena

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. DS0026 Dual High-Speed MOS Driver General Description DS0026 is a low cost

More information

A Hierarchical Analysis Methodology for Chip-Level Power Delivery with Realizable Model Reduction

A Hierarchical Analysis Methodology for Chip-Level Power Delivery with Realizable Model Reduction A Hierarchical Analysis Methodology for Chip-Level Power Delivery with Realizable Model Reduction Yu-Min Lee Electrical and Computer Engineering University of Wisconsin at Madison Charlie Chung-Ping Chen

More information

THE recent trend in the VLSI industry toward miniature

THE recent trend in the VLSI industry toward miniature Model Order Reduction of Linear Time Variant High Speed VLSI Interconnects using Frequency Shift Technique J.V.R.Ravindra, Student Member, IEEE, M.B.Srinivas, Member, IEEE International Science Index,

More information

Numerical Linear Algebra

Numerical Linear Algebra Chapter 3 Numerical Linear Algebra We review some techniques used to solve Ax = b where A is an n n matrix, and x and b are n 1 vectors (column vectors). We then review eigenvalues and eigenvectors and

More information

Numerical Methods I Non-Square and Sparse Linear Systems

Numerical Methods I Non-Square and Sparse Linear Systems Numerical Methods I Non-Square and Sparse Linear Systems Aleksandar Donev Courant Institute, NYU 1 donev@courant.nyu.edu 1 MATH-GA 2011.003 / CSCI-GA 2945.003, Fall 2014 September 25th, 2014 A. Donev (Courant

More information

Poor Man s TBR: A Simple Model Reduction Scheme

Poor Man s TBR: A Simple Model Reduction Scheme Poor Man s TBR: A Simple Model Reduction Scheme Joel Phillips Cadence Berkeley Laboratories Cadence Design Systems San Jose, CA 95134, U.S.A. jrp@cadence.com L. Miguel Silveira INESC ID/IST, Cadence Laboratories

More information

Implementation of a Transmission Line Model with the PEEC Method for Lightning Surge Analysis

Implementation of a Transmission Line Model with the PEEC Method for Lightning Surge Analysis Implementation of a Transmission Line Model with the PEEC Method for Lightning Surge Analysis PEERAWUT YUTTHAGOWITH Department of Electrical Engineering, Faculty of Engineering King Mongkut s Institute

More information

Accurate Modeling of Lossy Nonuniform Transmission Lines by Using Differential Quadrature Methods

Accurate Modeling of Lossy Nonuniform Transmission Lines by Using Differential Quadrature Methods IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL 50, NO 10, OCTOBER 2002 2233 Accurate Modeling of Lossy Nonuniform Transmission Lines by Using Differential Quadrature Methods Qinwei Xu, Student

More information

MANY important problems in circuits, electromagnetics

MANY important problems in circuits, electromagnetics 374 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL 58, NO 2, FEBRUARY 2011 Solving Large-Scale Hybrid Circuit-Antenna Problems Javad Lavaei, Student Member, IEEE, Aydin Babakhani, Ali

More information

A Novel Approach for Generating Dynamic Compact Models of Thermal Networks Having Large Numbers of Power Sources

A Novel Approach for Generating Dynamic Compact Models of Thermal Networks Having Large Numbers of Power Sources A Novel Approach for Generating Dynamic Compact Models of Thermal Networks Having Large Numbers of Power Sources Lorenzo Codecasa, Dario D Amore, Paolo Maffezzoni and Nadia Spennagallo Dipartimento di

More information

Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration

Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration Shan Zeng, Wenjian Yu, Jin Shi, Xianlong Hong Dept. Computer Science & Technology, Tsinghua University, Beijing

More information

CLASSICAL ITERATIVE METHODS

CLASSICAL ITERATIVE METHODS CLASSICAL ITERATIVE METHODS LONG CHEN In this notes we discuss classic iterative methods on solving the linear operator equation (1) Au = f, posed on a finite dimensional Hilbert space V = R N equipped

More information

Rational ABCD Modeling of High-Speed Interconnects

Rational ABCD Modeling of High-Speed Interconnects Rational ABCD Modeling of High-Speed Interconnects Qinwei u and Pinaki Mazumder EECS Dept. University of Michigan, Ann Arbor, MI 4819 Email: qwxu@eecs.umich.edu, mazum@eecs.umich.edu Abstract This paper

More information

B553 Lecture 5: Matrix Algebra Review

B553 Lecture 5: Matrix Algebra Review B553 Lecture 5: Matrix Algebra Review Kris Hauser January 19, 2012 We have seen in prior lectures how vectors represent points in R n and gradients of functions. Matrices represent linear transformations

More information

SparseRC : Sparsity preserving model reduction for RC circuits with many terminals Ionutiu, R.; Rommes, J.; Schilders, W.H.A.

SparseRC : Sparsity preserving model reduction for RC circuits with many terminals Ionutiu, R.; Rommes, J.; Schilders, W.H.A. SparseC : Sparsity preserving model reduction for C circuits with many terminals Ionutiu,.; ommes, J.; Schilders, W.H.A. Published in: IEEE Transactions on Computer-Aided Design of Integrated Circuits

More information

Enforcing Passivity for Admittance Matrices Approximated by Rational Functions

Enforcing Passivity for Admittance Matrices Approximated by Rational Functions IEEE TRANSACTIONS ON POWER SYSTEMS, VOL. 16, NO. 1, FEBRUARY 2001 97 Enforcing Passivity for Admittance Matrices Approximated by Rational Functions Bjørn Gustavsen, Member, IEEE and Adam Semlyen, Life

More information

QUESTION BANK SUBJECT: NETWORK ANALYSIS (10ES34)

QUESTION BANK SUBJECT: NETWORK ANALYSIS (10ES34) QUESTION BANK SUBJECT: NETWORK ANALYSIS (10ES34) NOTE: FOR NUMERICAL PROBLEMS FOR ALL UNITS EXCEPT UNIT 5 REFER THE E-BOOK ENGINEERING CIRCUIT ANALYSIS, 7 th EDITION HAYT AND KIMMERLY. PAGE NUMBERS OF

More information

ECE 202 Fall 2013 Final Exam

ECE 202 Fall 2013 Final Exam ECE 202 Fall 2013 Final Exam December 12, 2013 Circle your division: Division 0101: Furgason (8:30 am) Division 0201: Bermel (9:30 am) Name (Last, First) Purdue ID # There are 18 multiple choice problems

More information

Clustering-based State Aggregation of Dynamical Networks

Clustering-based State Aggregation of Dynamical Networks Clustering-based State Aggregation of Dynamical Networks Takayuki Ishizaki Ph.D. from Tokyo Institute of Technology (March 2012) Research Fellow of the Japan Society for the Promotion of Science More than

More information

Vector Potential Equivalent Circuit Based on PEEC Inversion

Vector Potential Equivalent Circuit Based on PEEC Inversion 43.2 Vector Potential Equivalent Circuit Based on PEEC Inversion Hao Yu EE Department, UCLA Los Angeles, CA 90095 Lei He EE Department, UCLA Los Angeles, CA 90095 ABSTRACT The geometry-integration based

More information

Closed Form Expressions for Delay to Ramp Inputs for On-Chip VLSI RC Interconnect

Closed Form Expressions for Delay to Ramp Inputs for On-Chip VLSI RC Interconnect ISSN -77 (Paper) ISSN -87 (Online) Vol.4, No.7, - National Conference on Emerging Trends in Electrical, Instrumentation & Communication Engineering Closed Form Expressions for Delay to Ramp Inputs for

More information

Window-Based Susceptance Models for Large-Scale RLC Circuit Analyses

Window-Based Susceptance Models for Large-Scale RLC Circuit Analyses Window-Based Susceptance Models for arge-scale R ircuit Analyses Hui Zheng, Byron Krauter 2, Michael Beattie, awrence Pileggi ABSTRAT Due to the increasing operating frequencies and the manner in which

More information

Heap Charge Pump Optimisation by a Tapered Architecture

Heap Charge Pump Optimisation by a Tapered Architecture R. Arona, E. Bonizzoni, F. Maloberti, G. Torelli: "Heap Charge Pump Optimisation by a Tapered Architecture"; Proc. of the IEEE International Symposium on Circuits and Systems, ISCAS 2005, Kobe, 23-26 May,

More information

Fast Passivity Enforcement for S-Parameter Models by Perturbation of Residue Matrix Eigenvalues Bjørn Gustavsen, Senior Member, IEEE

Fast Passivity Enforcement for S-Parameter Models by Perturbation of Residue Matrix Eigenvalues Bjørn Gustavsen, Senior Member, IEEE IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 33, NO. 1, FEBRUARY 2010 257 Fast Passivity Enforcement for S-Parameter Models by Perturbation of Residue Matrix Eigenvalues Bjørn Gustavsen, Senior Member,

More information

Krylov Techniques for Model Reduction of Second-Order Systems

Krylov Techniques for Model Reduction of Second-Order Systems Krylov Techniques for Model Reduction of Second-Order Systems A Vandendorpe and P Van Dooren February 4, 2004 Abstract The purpose of this paper is to present a Krylov technique for model reduction of

More information

The Conjugate Gradient Method

The Conjugate Gradient Method The Conjugate Gradient Method Classical Iterations We have a problem, We assume that the matrix comes from a discretization of a PDE. The best and most popular model problem is, The matrix will be as large

More information

POLITECNICO DI TORINO Repository ISTITUZIONALE

POLITECNICO DI TORINO Repository ISTITUZIONALE POLITECNICO DI TORINO Repository ISTITUZIONALE Sensitivity-based weighting for passivity enforcement of linear macromodels in power integrity applications Original Sensitivity-based weighting for passivity

More information

RECURSIVE CONVOLUTION ALGORITHMS FOR TIME-DOMAIN SIMULATION OF ELECTRONIC CIRCUITS

RECURSIVE CONVOLUTION ALGORITHMS FOR TIME-DOMAIN SIMULATION OF ELECTRONIC CIRCUITS COMPUTATIONAL METHODS IN SCIENCE AND TECHNOLOGY 7(2), 91-109 (2001) RECURSIVE CONVOLUTION ALGORITHMS FOR TIME-DOMAIN SIMULATION OF ELECTRONIC CIRCUITS GRZEGORZ BLAKIEWICZ 1 AND WŁODZIMIERZ JANKE 2 1 Techn.

More information