Design of normalised and simplified FAs in quantum-dot cellular automata

Size: px
Start display at page:

Download "Design of normalised and simplified FAs in quantum-dot cellular automata"

Transcription

1 Design of normalised and simplified FAs in quantum-dot cellular automata Yongqiang Zhang, Guangjun Xie, Mengbo Sun, Hongjun Lv School of Electronic Science & Applied Physics, Hefei University of Technology, Hefei , People s Republic of China lvhongjun1958@sina.com Published in The Journal of Engineering; Received on 18th July 2017; Accepted on 10th September 2017 Abstract: Quantum-dot cellular automata (QCA), which is a burgeoning technique at nanoscale region to take the important place of complementary metal oxide semiconductor technology, has been studied for several years. The recent primary research emphasis is mainly focusing on circuit design, for instance, full adders (FAs) and multiplexers. The authors will present a new five-input majority gate to construct FAs. Three QCA normalised FAs based on different logical expressions are then selected and designed, denoted as NFA1 NFA3, respectively. To conveniently compare with existing adders, three simplified FAs are also designed, named SFA1 SFA3. Analysis results indicate that SFA3 presents better performance in some extent. 1 Introduction Since the presence of quantum-dot cellular automata (QCA), the theory in this domain has been developed rapidly including the pure research and experimental verification for the practicability of this technology. In theoretical area, most studies focus on circuit design and simulation such as QCA basic logic unit and field programmable gate array, at which full adders (FAs) also dominate, while the physical realisation mostly focuses on the demonstration of QCA cells. The cell can be seen as a square charge container with two free electrons and four dots located at each corner as shown in Fig. 1a. Owing to the Coulomb interaction between electrons attaining lowest electrostatic potential, two polarisation patterns are used to encode binary information, logic 1 (P = + 1) and logic 0 (P = 1), as shown in Figs. 1b and c, respectively [1]. A method to get the optimal logical expression based on majority voter for a circuit with three inputs was presented [2]. Adopting this means, an FA consisting of three three-input majority voters and two inverters was obtained and also implemented in QCA domain in one layer. With this logical expression for FA, the threelayer FA was also designed [3, 4]. In addition, several FAs with different layouts have only been implemented with three-input majority voters and inverters [5 13]. With the varieties of logical expressions for FAs, the circuits can also be designed with other logic units, for instance, five-input majority voters [14 27], three-input exclusive-or (TIEO) gate [28] and exclusive OR gates [29]. Pudi optimised the logical expression to reduce one inverter and to design a new QCA FA [30]. The principle of these methods is to take the place of three-input majority voter in FAs. This paper is organised as follows: Section 2 provides the circuit design guidelines about QCA technology. The analytical methods are provided in Section 3. Section 4 illustrates the designed FAs and the simulation results. Finally, we conclude this paper in Section 5. 2 QCA circuit design guidelines 2.1 Majority voter The primitive device in QCA is three-input majority voter (denoted as M3) is shown in Fig. 2a. The functionality of majority voter is represented as Boolean function F = MV( A, B, C) = AB + AC + BC, which means that the output F of a majority voter is equal to the value of majority of the inputs A, B and C in Fig. 2b. Fig. 2c illustrates the simulation results of the voter with QCADesigner. The cell F will receive the signal from device cell at the centre of voter, which means that the input signals arriving device cell should keep synchronous, or one input signal will arrive at the device cell preferentially, resulting in erroneous output values. The five-input majority voter (denoted as M5) plays the same role, but with five inputs. The design principle is same as M Crossover With the electrostatic interaction between each pair of electrons within two cells, the QCA circuits can be integrated in one layer. These circuits can definitely be built by means of multilayered approach such as complementary metal oxide semiconductorbased integrated circuits, while this structure has not yet achieved and the noise problem exists [31, 32]. Up to now there are two kinds of techniques to implement one-layer crossover. Kim studied the robustness of simple wire crossover consisting of normal cells and rotated cells rotated by 45 [33]. The rotated cells, however, are more sensitive to noise casted by other cells than normal cells, easily leading to fault results [34]. Shin proposed a new wire-crossing using the relation between hold and relax phases of clocked cells [35]. If two adjacent cells are placed in clock0 and clock2, there does not exist Coulomb interaction between these cells, which means the signal cannot be propagated in these cells. That is the main principle of coplanar crossover with clocking mechanism. This crossover can also be realised by clock1 and clock3 pair. 2.3 Inverter Besides the majority voter, inverter is also a key element in circuit to realise the converse of input signal [1]. Fig. 3a illustrates a simple inverter. Input cell A and output cell F are placed in same clock zone. The output cell in this design, however, cannot be fully saturated due to the rapid decay of kink energy with distance between cells seen from its simulation results. By adding one more cell before output cell, the amplitude of polarisation can be strengthened as shown in Fig. 3b. 3 QCA circuit evaluation methods 3.1 Physical properties The apparent physical properties to evaluate a QCA circuit is nothing more than circuit area, cell count, latency, layer count and cell types. The area of a circuit is defined as the rectangular area occupied by circuit on the Cartesian plane [36]. This figure of merit directly reflects the circuit size that may relate to number

2 where G is a real three-dimensional (3D) energy vector and l is the coherence vector. The first term P 1 in above equation represents the difference between power input (P in ) and power output (P out ). The second term P 2 gives the dissipated power (P diss ) that is exactly our concern. The worst case for power dissipation can be obtained during one clock cycle Tc=[ T D, T D ] E diss = h 2 TD T D G dl dt dt = h 2 ( ) TD [ G l] T D TD l dg dt T D dt (2) Fig. 1 QCA cell a QCA cell representing NULL b QCA cell representing logic 1 c QCA cell representing logic 0 It is worth noting that the greater the changing rate of G is, the larger amount the energy dissipates. ( ) Modelling ( ) the rate with δ function and representing G T D and G TD with G and G +. The upper bound power dissipation model in [37] is given as P diss = E diss, h G T c 2T + c [ G ( + tanh h G ) + + G ( h G )] (3) k B T tanh k B T G + G Fig. 2 QCA three-input majority gate a Diagram b QCA layout c Simulation results where T is the Kelvin temperature and k B is the Boltzmann constant. With the power dissipation for a QCA cell, we can calculate the total power dissipation for a system by accumulating the dissipated power of all cells because the presented method for each QCA cell is identical. Fig. 3 QCA inverters a Two cells positioned diagonally in one clock b Adding one more cell before output of cells about one-layer circuit, which also decides the manufacturing cost of a die. The latency or clock frequency often accounts for the performance for one system, which is decided by the longest path the signal propagated. In one QCA circuit, the latency relates to the number of majority voter that signal experienced from input cell to output cell. As mentioned earlier, the multi-layer crossover can hardly be approached by physical model. The rotated cells are easily affected by noise, leading to fault results, so that one-layer design and normal cells are the best choices. 3.3 Probabilistic transfer matrix As a method for computing the reliability of a combinational circuit, the probabilistic transfer matrix (PTM) forms an algebra to represent circuits with probabilistic failure gates. The matrix of a gate stems from its truth table, which is a 2 m 2 n matrix for a circuit with m inputs and n outputs as shown in Fig. 4a crossover and Fig. 4b fanout, respectively. To generate this PTM, the circuit calculated must be divided into subcircuits that do not have a series of components. There are three connecting modes for connection of two components: parallel, if two gates with PTM 1 and PTM 2 are connected in parallel, the combined PTM is the tensor product of PTM 1 and PTM 2 ; series, if two gates with PTM 1 and PTM 2 are connected in series, the combined PTM is the product of PTM 1 and PTM 2 ; if two gates with PTM 1 and PTM 2 are connected by fanout, the combined PTM is calculated by the tensor product of PTM 1 and PTM 2 and also eliminating the rows that have different input values for one fanout [38]. 3.2 Power dissipation To characterise the maximum power dissipation is meaningful by using sharp transitions in clocks. The equation for instantaneous total power for a single QCA cell is written as P = h dg 2 dt l + h 2 G dl dt = P 1 + P 2 (1) Fig. 4 PTM a Crossover b Fanout

3 4 QCA FAs 4.1 Five-input majority gate Primo, a new five-input majority gate should be designed to get an outstanding FA. The logic function for the majority gate is as (4), where A, B, C, D and E are inputs and F is output F = MA, ( B, C, D, E) = ABC + ABD + ABE + ACD + ACE + ADE + BCD + BCE + BDE + CDE If D = E = C o, then ( ) F = MA, ( B, C, D, E) = M A, B, C, C o, C o ( ) = M A, B, C, C o, C o (4) The new five-input majority gate is illustrated in Fig. 5, where C o undertakes two-fold weights. It has only nine cells and can be used in both multi-layer and coplanar circuits. 4.2 FAs design The logical function of FA can be described as (6), where A, B and C are input signals, C o is carried out and S is sum C 0 = AB + AC + BC S = ABC + ABC + ABC + ABC With M3, the sum formula is presented as (7) [2]. It is clear that this FA consists of three M3 and two inverters ( ( ) ) S = M MA, ( B, C), M A, B, C, C (7) (6) By the reformation of formula, another logical expression is presented as (8) [30]. Compared to (7), it is reduced by one inverter ( ( ) ) S = M MA, ( B, C), M MA, ( B, C), B, C, A (8) With one M5, the logical function of sum can also be described as (9) S = M ( MA, ( B, C), MA, ( B, C), A, B, C ) (9) Fig. 5 Proposed five-input majority gate Fig. 6 Schematics of QCA FAs a FA1 b FA2 c FA3 The schematics of FAs for (7) (9) are illustrated in Figs. 6a c, marked as FA1 FA3, respectively. It is worth noting that the input C should be placed to facilitate cascade of n FAs to implement n-bit carry flow adder (CFA). The corresponding QCA normalised FAs of these layouts are displayed in Figs. 7a c, shortened as NFA1 NFA3. Noting each majority voter, inverter and crossover with characters M3 or M5, I and C, respectively (similarly hereinafter). The spacing between two wires is two cells width and the cell count in one clock zone is two at least. It can be seen that the delay of sum S for NFA1 and NFA2 is 1.25 clocks, whereas the latency of NFA3 is 1 clock. Figs. 8a c show the 4 bit normalised CFAs implemented by cascading four corresponding FAs, denoted as NCFA NCFA3, respectively. It is clear that the additional clock zone in junction between two adjacent adders will ensure the correctness of transmission signal. To cater to the previous work of others, we also design the corresponding simplified FAs (SFA1 SFA3) and 4 bit CFAs Fig. 7 QCA normalised FAs a NFA1 b NFA2 c NFA3

4 Fig. 8 QCA 4 bit normalised CFAs a NCFA1 implemented with NFA1 b NCFA2 implemented with NFA2 c NCFA3 implemented with NFA3 Fig. 9 QCA simplified FAs a SFA1 b SFA2 c SFA3 Fig. 10 QCA 4 bit simplified CFAs a SCFA1 implemented with SFA1 b SCFA2 implemented with SFA2 c SCFA3 implemented with SFA3 (SCFA1 SCFA3) that does not conform to aforementioned design guidelines as shown in Figs. 9 and 10, denoted as SFAs and SCFAs, respectively. The main differences from NFAs and SFAs are the spacing between two wires and the minimal cell count in each clock zone. In the wiring of 4 bit SCFAs, we employ the corner line to take the place of straight line to save the circuit area. 4.3 Simulation results To authenticate these devices and circuits designed in this paper, bistable approximation engine of QCADesigner version with the parameters summarised in Table 1 is employed to verify the functionalities of proposed circuits [31]. Fig. 11 illustrates the correct simulation results for the proposed five-input majority gate in Fig. 5, where C o undertakes two-fold weights. Fig. 12 shows the simulation results for NFA1 NFA3, respectively. Apparently, both two circuits perform correct Table 1 Bistable approximation parameters Parameter Value cell size 18.0 nm 18.0 nm dot diameter nm cell-to-cell spacing 2.0 nm number of samples 102,400 convergence tolerance radius of effect nm relative permittivity clock high J clock low J clock shift clock amplitude factor layer separation nm maximum iterations per sample 10,000

5 Fig. 11 Simulation results for the proposed five-input majority gate functions. Fig. 13 displays the correct waveforms for proposed NCFA1 NCFA3, respectively, where each colour pair corresponds to one input/output pair. We add one more input/output pair with red colour for 4 bit NCFA2 and NCFA3 to indicate the less clock zone than NCFA1 by 0.75 clock zones. Fig. 14 depicts the simulation waveforms to test the correctness for SFA1 SFA3, which explains the rationality of SFAs. The waveforms of three corresponding SCFAs are shown in Fig. 15. The colour pair also corresponds to one input/output pair. 4.4 Performance comparison In Fig. 6, we displayed three QCA FAs with different logic units, FA1 FA3, corresponding to various Boolean logic expressions. PTM is used, as above mentioned, to analyse circuit reliability. The overall probability of failure for FAs is shown in Fig. 16a. It is clear that FA3 has better reliability than both FA1 and FA2. Fig. 16b illustrates the probability of failure for S, which show FA2 and FA3 have equal robustness for S. Since three carry outs are generated by a three-input majority voter, so the reliability for three C o s is equal as shown in Fig. 16c. With above analysis, it shows that the FA based on M5ismorerobustthanothertwoadders. Table 2 lists the physical properties for QCA FAs that are all coplanar structures, which exclude the adders that inputs or outputs are surrounded by the circuits. As noted before, adders NFA1 NFA3 conform to aforementioned guidelines to design circuits, resulting in more cells than SFA1 SFA3 that are proposed to get optimal FAs. The proposed SFA3 gets smaller area and less cells than all other adders, but has more latency than adder in [25] by 0.25 clocks and adder in [28] by 0.5 clocks. As displayed in Table 3, the comparisons of average energy, leakage energy, switching energy dissipations with previous works are shown by considering three different tunnelling energy levels (0.5E k, E k, 1.5E k ) at 2.0 K temperature, respectively. Figs illustrate considerable optimisation in three energy dissipations with bar graph according to achieved results. It can be seen that SFA3 leads to almost 46.4, 19.4, 34.6, 40.5, 60.5 and 69.1% improvements in average energy dissipation in Fig. 12 Simulation results for normalised Fas a NFA1 b NFA2 c NFA3 Fig. 13 Simulation results for 4 bit normalised CFAs a NCFA1 b NCFA2 c NCFA3

6 Fig. 14 Simulation results for simplified FAs a SFA1 b SFA2 c SFA3 Fig. 15 Simulation results for 4 bit normalised CFAs a NCFA1 b NCFA2 c NCFA Fig. 16 Probability of failure of outputs versus device probability of failure a Overall FA bs cc o

7 Table 2 Physical properties for FAs FAs Area, μm 2 Latency, clocks Cell count/type Minimal spacing, cells Minimal cell count in a clock [7] /normal 1 1 [22] /normal [25] /rotated 1 2 [27] /normal 1 2 [28] /rotated 1 2 [29] /rotated [13] /rotated 1 1 NFA /normal 2 2 NFA /normal 2 2 NFA /normal 2 2 SFA /normal 1 1 SFA /normal 1 1 SFA /normal 0 1 Table 3 Power dissipation for FAs FAs Average energy dissipation, mev Average leakage energy dissipation, mev Average switching energy dissipation, mev 0.5E k E k 1.5E k 0.5E k E k 1.5E k 0.5E k E k 1.5E k [7] [22] [25] [27] [28] [29] [13] NFA NFA NFA SFA SFA SFA Fig. 17 Average energy dissipation of FAs in various tunnelling energy levels Fig. 18 Average leakage energy dissipation of FAs in various tunnelling energy levels comparison with the circuits in listed references, respectively, at 0.5E k tunnelling energy level and at 2.0 K temperature. Fig. 20 shows the power dissipation maps of these FAs at 0.5E k tunnelling energy level and at 2.0 K temperature. In this figure, the darker the cells are, the more energy dissipates. Yet, there is no relevance to each FA in the cells colour. Table 4 summarises the physical properties for 4 bit QCA CFAs in terms of area, cell count and latency. The larger area and cell

8 Fig. 19 Average switching energy dissipation of FAs in various tunnelling energy levels Fig. 20 Power dissipation maps for FAs at 0.5Ek tunnelling energy level and 2.0 K temperature a g FAs in [7, 22, 25, 27 29, 13] h m Proposed NFA1, NFA2, NFA3, SFA1, SFA2, SFA3 Table 4 Physical properties for 4 bit CFAs CFAs Area, μm 2 Cell count Latency, clocks [7] [25] [27] [29] [13] NCFA NCFA NCFA SCFA SCFA SCFA count for NCFAs derive from straight input/output wires and also the minimal cell count in each clock, which can be seen from SCFAs designed with bent wires, resulting in smaller area. Although SCFA3 has a bit more latency, it gets smallest area and least cell count. 5 Conclusions Primo, in this paper, we detailed the circuit design guidelines by simulation and evaluation methods to restrict the building block for constructing a normalised circuit system. To explain how these guidelines and methods work, three normalised FAs named NFA1 NFA3 are designed, which are based on different logical expressions. Moreover, three corresponding simplified FAs, denoted as SFA1 SFA3, are also proposed and compared with NFAs and previous FAs. These FAs are then used to design 4 bit CFAs. Reliability analysis shows that FA3 with five-input majority gate has more robust structure than FA1 and FA2, with PTM. The analysis for FAs demonstrates that SFA3 reaches better properties than other FAs in some respects, i.e. reduced by 46.4, 19.4, 34.6, 40.5, 60.5 and 69.1% in average energy dissipation compared with schemes in references. The SCFA3 consisted of SFA3 which also ranks first in terms of area and cell count. 6 Acknowledgment This work was supported by the National Natural Science Foundation of China (no ). 7 References [1] Tougaw P.D., Lent C.S.: Logical devices implemented using quantum cellular automata, J. Appl. Phys., 1994, 75, (3), pp [2] Zhang R., Walus K., Wang W., ET AL.: A method of majority logic reduction for quantum cellular automata, IEEE Trans. Nanotechnol., 2004, 3, (4), pp [3] Cho H., Swartzlander E.E.Jr.: Adder designs and analyses for quantum-dot cellular automata, IEEE Trans. Nanotechnol., 2007, 6, (3), pp [4] Cho H., Swartzlander E.E.Jr.: Adder and multiplier design in quantum-dot cellular automata, IEEE Trans. Comput., 2009, 58, (6), pp [5] Hayati M., Rezaei A.: Design and optimization of full comparator based on quantum-dot cellular automata, ETRI J., 2012, 34, (2), pp [6] Ghosh B., Singh C., Salimath A.K.: A novel approach of full adder and arithmetic logic unit design in quantum dot cellular automata, J. Low Power Electron., 2013, 9, (4), pp [7] Abedi D., Jaberipur G., Sangsefidi M.: Coplanar full adder in quantum-dot cellular automata via clock-zone-based crossover, IEEE Trans. Nanotechnol., 2015, 14, (3), pp [8] Hayati M., Rezaei A.: Design of novel efficient adder and subtractor for quantum-dot cellular automata, Int. J. Circuit Theory Appl., 2015, 43, (10), pp [9] Liu W., Swartzlander E.E.: Design of 3-D quantum-dot cellular automata adders, IEICE Electron. Express, 2015, 12, (6), pp. 1 5 [10] Roohi A., DeMara R.F., Khoshavi N.: Design and evaluation of an ultra-area-efficient fault-tolerant QCA full adder, Microelectron. J., 2015, 46, (6), pp [11] Kumar D., Mitra D.: Design of a practical fault-tolerant adder in QCA, Microelectron. J., 2016, 53, pp

9 [12] Mohammadi M., Mohammadi M., Gorgin S.: An efficient design of full adder in quantum-dot cellular automata (QCA) technology, Microelectron. J., 2016, 50, pp [13] Sen B., Sahu Y., Mukherjee R., ET AL.: On the reliability of majority logic structure in quantum-dot cellular automata, Microelectron. J., 2016, 47, pp [14] Navi K., Farazkish R., Sayedsalehi S., ET AL.: A new quantum-dot cellular automata full-adder, Microelectron. J., 2010, 41, (12), pp [15] Navi K., Sayedsalehi S., Farazkish R., ET AL.: Five-input majority gate, a new device for quantum-dot cellular automata, J. Comput. Theor. Nanosci., 2010, 7, (8), pp [16] Sayedsalehi S., Moaiyeri M.H., Navi K.: Novel efficient adder circuits for quantum-dot cellular automata, J. Comput. Theor. Nanosci., 2011, 8, (9), pp [17] Roohi A., Khademolhosseini H., Sayedsalehi S., ET AL.: A symmetric quantum-dot cellular automata design for 5-input majority gate, J. Comput. Electron., 2014, 13, (3), pp [18] Kumar R., Ghosh B., Gupta S.: Adder design using a 5-input majority gate in a novel multilayer gate design paradigm for quantum dot cellular automata circuits, J. Semicond., 2015, 36, (4), pp. 1 9 [19] Zhang Y., Lv H., Liu S., ET AL.: Design of quantum-dot cellular automata circuits using five-input majority gate, J. Comput. Theor. Nanosci., 2015, 12, (10), pp [20] Du H., Lv H., Zhang Y., ET AL.: Design and analysis of new faulttolerant majority gate for quantum-dot cellular automata, J. Comput. Electron., 2016, 15, (4), pp [21] Kassa S.R., Nagaria R.K.: A novel design of quantum dot cellular automata 5-input majority gate with some physical proofs, J. Comput. Electron., 2016, 15, (1), pp [22] Sasamal T.N., Singh A.K., Mohan A.: An optimal design of full adder based on 5-input majority gate in coplanar quantum-dot cellular automata, Optik, 2016, 127, (20), pp [23] Farazkish R., Khodaparast F.: Design and characterization of a new fault-tolerant full-adder for quantum-dot cellular automata, Microprocess. Microsyst., 2015, 39, (6), pp [24] Angizi S., Danehdaran F., Sarmadi S., ET AL.: An ultra-high speed and low complexity quantum-dot cellular automata full adder, J. Low Power Electron., 2015, 11, (2), pp [25] Labrado C., Thapliyal H.: Design of adder and subtractor circuits in majority logic-based field-coupled QCA nanocomputing, Electron. Lett., 2016, 52, (6), pp [26] Sen B., Chowdhury M.R., Mukherjee R., ET AL.: Reliability-aware design for programmable QCA logic with scalable clocking circuit, J. Comput. Electron., 2017, 16, (2), pp [27] Angizi S., Alkaldy E., Bagherzadeh N., ET AL.: Novel robust single layer wire crossing approach for exclusive OR sum of products logic design with quantum-dot cellular automata, J. Low Power Electron., 2014, 10, (2), pp [28] Ahmad F., Bhat G.M., Khademolhosseini H., ET AL.: Towards single layer quantum-dot cellular automata adders based on explicit interaction of cells, J. Comput. Electron., 2016, 16, pp [29] Kianpour M., Sabbaghi-Nadooshan R., Navi K.: A novel design of 8-bit adder/subtractor by quantum-dot cellular automata, J. Comput. Syst. Sci., 2014, 80, (7), pp [30] Pudi V., Sridharan K.: Low complexity design of ripple carry and Brent Kung adders in QCA, IEEE Trans. Nanotechnol., 2012, 11, (1), pp [31] Walus K., Dysart T.J., Jullien G.A., ET AL.: QCADesigner: a rapid design and simulation tool for quantum-dot cellular automata, IEEE Trans. Nanotechnol., 2004, 3, (1), pp [32] Janez M., Pecar P., Mraz M.: Layout design of manufacturable quantum-dot cellular automata, Microelectron. J., 2012, 43, (7), pp [33] Kim K., Wu K., Karri R.: The robust QCA adder designs using composable QCA building blocks, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 2007, 26, (1), pp [34] Graunke C.R., Wheeler D.I., Tougaw D., ET AL.: Implementation of a crossbar network using quantum-dot cellular automata, IEEE Trans. Nanotechnol., 2005, 4, (4), pp [35] Shin S.-H., Jeon J.-C., Yoo K.-Y.: Design of wire-crossing technique based on difference of cell state in quantum-dot cellular automata, Int. J. Control Autom., 2014, 7, (4), pp [36] Das K., De D.: Characterization, test and logic synthesis of novel conservative and reversible logic gates for QCA, Int. J. Nanosci., 2010, 9, (3), pp [37] Srivastava S., Sarkar S., Bhanja S.: Estimation of upper bound of power dissipation in QCA circuits, IEEE Trans. Nanotechnol., 2009, 8, (1), pp [38] Ibrahim W., Beiu V., Sulieman M.H.: On the reliability of majority gates full adders, IEEE Trans. Nanotechnol., 2008, 7, (1), pp

Design of Optimized Quantum-dot Cellular Automata RS Flip Flops

Design of Optimized Quantum-dot Cellular Automata RS Flip Flops Int. J. Nanosci. Nanotechnol., Vol. 13, No. 1, March. 2017, pp. 53-58 Design of Optimized Quantum-dot Cellular Automata RS Flip Flops A. Rezaei* 1 Electrical Engineering Department, Kermanshah University

More information

Wire-Crossing Technique on Quantum-Dot Cellular Automata

Wire-Crossing Technique on Quantum-Dot Cellular Automata Wire-Crossing Technique on Quantum-Dot Cellular Automata Sang-Ho Shin 1, Jun-Cheol Jeon 2 and Kee-Young Yoo * 1 School of Computer Science and Engineering, Kyungpook National University, Daegu, South Korea

More information

Design of an Ultra-Efficient Reversible Full Adder-Subtractor in Quantum-dot Cellular Automata

Design of an Ultra-Efficient Reversible Full Adder-Subtractor in Quantum-dot Cellular Automata Design of an Ultra-Efficient Reversible Full Adder-Subtractor in Quantum-dot Cellular Automata Elham Taherkhani 1, Mohammad Hossein Moaiyeri 1,2* and Shaahin Angizi 3 1 Nanotechnology and Quantum Computing

More information

BINARY TO GRAY CODE CONVERTER IMPLEMENTATION USING QCA

BINARY TO GRAY CODE CONVERTER IMPLEMENTATION USING QCA BINARY TO GRAY CODE CONVERTER IMPLEMENTATION USING QCA Neha Guleria Department of Electronics and Communication Uttarakhand Technical University Dehradun, India Abstract Quantum dot Cellular Automata (QCA)

More information

DESIGN OF PARITY GENERATOR AND PARITY CHECKER USING QUANTUM DOT AUTOMATA

DESIGN OF PARITY GENERATOR AND PARITY CHECKER USING QUANTUM DOT AUTOMATA Volume 118 No. 24 2018 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN OF PARITY GENERATOR AND PARITY CHECKER USING QUANTUM DOT AUTOMATA MummadiSwathi

More information

Double Feynman Gate (F2G) in Quantumdot Cellular Automata (QCA)

Double Feynman Gate (F2G) in Quantumdot Cellular Automata (QCA) Double Feynman Gate (F2G) in Quantumdot Cellular Automata (QCA) Ali Newaz Bahar E-mail: bahar_mitdu@yahoo.com Sajjad Waheed E-mail: sajad302@yahoo.com Md. Ashraf Uddin Department of Computer Science and

More information

Design of a Controllable Adder-Subtractor circuit using Quantum Dot Cellular Automata

Design of a Controllable Adder-Subtractor circuit using Quantum Dot Cellular Automata IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 12, Issue 4 Ver. III (Jul. Aug. 2017), PP 44-59 www.iosrjournals.org Design of a Controllable

More information

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya International Journal of Scientific Research in Computer Science, Engineering and Information Technology 2018 IJSRCSEIT Volume 3 Issue 5 ISSN : 2456-3307 Design and Implementation of Carry Look Ahead Adder

More information

DESIGN OF AREA-DELAY EFFICIENT ADDER BASED CIRCUITS IN QUANTUM DOT CELLULAR AUTOMATA

DESIGN OF AREA-DELAY EFFICIENT ADDER BASED CIRCUITS IN QUANTUM DOT CELLULAR AUTOMATA International Journal on Intelligent Electronic System, Vol.9 No.2 July 2015 1 DESIGN OF AREA-DELAY EFFICIENT ADDER BASED CIRCUITS IN QUANTUM DOT CELLULAR AUTOMATA Aruna S 1, Senthil Kumar K 2 1 PG scholar

More information

DESIGN OF QCA FULL ADDER CIRCUIT USING CORNER APPROACH INVERTER

DESIGN OF QCA FULL ADDER CIRCUIT USING CORNER APPROACH INVERTER Research Manuscript Title DESIGN OF QCA FULL ADDER CIRCUIT USING CORNER APPROACH INVERTER R.Rathi Devi 1, PG student/ece Department, Vivekanandha College of Engineering for Women rathidevi24@gmail.com

More information

Design of an Optimal Decimal Adder in Quantum Dot Cellular Automata

Design of an Optimal Decimal Adder in Quantum Dot Cellular Automata International Journal of Nanotechnology and Applications ISSN 0973-631X Volume 11, Number 3 (2017), pp. 197-211 Research India Publications http://www.ripublication.com Design of an Optimal Decimal Adder

More information

Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder

Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder M.S.Navya Deepthi M.Tech (VLSI), Department of ECE, BVC College of Engineering, Rajahmundry. Abstract: Quantum cellular automata (QCA) is

More information

A Novel Design and Implementation of New Double Feynman and Six-correction logic (DFSCL) gates in Quantum-dot Cellular Automata (QCA)

A Novel Design and Implementation of New Double Feynman and Six-correction logic (DFSCL) gates in Quantum-dot Cellular Automata (QCA) A Novel Design and Implementation of New Double Feynman and Six-correction logic (DFSCL) gates in Quantum-dot Cellular Automata (QCA) Dr. Sajjad Waheed Sharmin Aktar Ali Newaz Bahar Department of Information

More information

Exploring and Exploiting Quantum-Dot Cellular Automata

Exploring and Exploiting Quantum-Dot Cellular Automata Int. J. Nanosci. Nanotechnol., Vol. 11, No. 4, Dec. 2015, pp. 225-232 Exploring and Exploiting Quantum-Dot Cellular Automata S. A. Ebrahimi and M. R. Reshadinezhad * Faculty of Computer Engineering, University

More information

Design of Efficient Mirror Adder in Quantum- Dot Cellular Automata

Design of Efficient Mirror Adder in Quantum- Dot Cellular Automata IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Design of Efficient Mirror Adder in Quantum- Dot Cellular Automata To cite this article: Prashant Kumar Mishra and Manju K. Chattopadhyay

More information

arxiv: v1 [cs.et] 13 Jul 2016

arxiv: v1 [cs.et] 13 Jul 2016 Processing In-memory realization using Quantum Dot Cellular Automata arxiv:1607.05065v1 [cs.et] 13 Jul 2016 P.P. Chougule, 1 B. Sen, 2 and T.D. Dongale 1 1 Computational Electronics and Nanoscience Research

More information

New Symmetric and Planar Designs of Reversible Full- Adders/Subtractors in Quantum-Dot Cellular Automata

New Symmetric and Planar Designs of Reversible Full- Adders/Subtractors in Quantum-Dot Cellular Automata 1 New Symmetric and Planar Designs of Reversible Full- Adders/Subtractors in Quantum-Dot Cellular Automata Moein Sarvaghad-Moghaddam 1, Ali A. Orouji 1,* 1 Department of Electrical and Computer Engineering,

More information

Implementation of Quantum dot Cellular Automata based Novel Full Adder and Full Subtractor

Implementation of Quantum dot Cellular Automata based Novel Full Adder and Full Subtractor Implementation of Quantum dot Cellular Automata based Novel Full Adder and Full Subtractor Peer Zahoor Ahmad 1, Firdous Ahmad 2, b, Syed Muzaffar Ahmad 3, Dr. Rafiq Ahmad Khan 4 1 Department of Computer

More information

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Uppoju Shiva Jyothi M.Tech (ES & VLSI Design), Malla Reddy Engineering College For Women, Secunderabad. Abstract: Quantum cellular automata

More information

International Journal of Advanced Research in ISSN: Engineering Technology & Science

International Journal of Advanced Research in ISSN: Engineering Technology & Science E n International Journal of Advanced Research in ISSN: 2349-2819 Engineering Technology & Science Email: editor@ijarets.org September-2016 Volume 3, Issue-9 A NOVEL RAM CELL DESIGN IN QUANTUM-DOT CELLULAR

More information

Analysis And Design Of Priority Encoder Circuit Using Quantum Dot Cellular Automata

Analysis And Design Of Priority Encoder Circuit Using Quantum Dot Cellular Automata Analysis And Design Of Priority Encoder Circuit Using Quantum Dot Cellular Automata P. Ilanchezhian Associate Professor, Department of IT, Sona College of Technology, Salem Dr. R. M. S. Parvathi Principal,

More information

Comparative analysis of QCA adders

Comparative analysis of QCA adders International Journal of Electrical Electronics Computers & Mechanical Engineering (IJEECM) ISSN: 2278-2808 Volume 5 Issue 12 ǁ December. 2017 IJEECM journal of Electronics and Communication Engineering

More information

Five-Input Complex Gate with an Inverter Using QCA

Five-Input Complex Gate with an Inverter Using QCA Five-Input Complex Gate with an Inverter Using QCA Tina Suratkar 1 Assistant Professor, Department of Electronics & Telecommunication Engineering, St.Vincent Pallotti College Of Engineering and Technology,

More information

Radiation Effects in Nano Inverter Gate

Radiation Effects in Nano Inverter Gate Nanoscience and Nanotechnology 2012, 2(6): 159-163 DOI: 10.5923/j.nn.20120206.02 Radiation Effects in Nano Inverter Gate Nooshin Mahdavi Sama Technical and Vocational Training College, Islamic Azad University,

More information

IMPLEMENTATION OF PROGRAMMABLE LOGIC DEVICES IN QUANTUM CELLULAR AUTOMATA TECHNOLOGY

IMPLEMENTATION OF PROGRAMMABLE LOGIC DEVICES IN QUANTUM CELLULAR AUTOMATA TECHNOLOGY IMPLEMENTATION OF PROGRAMMABLE LOGIC DEVICES IN QUANTUM CELLULAR AUTOMATA TECHNOLOGY Dr.E.N.Ganesh Professor ECE Department REC Chennai, INDIA Email : enganesh50@yahoo.co.in Abstract Quantum cellular automata

More information

Design of A Efficient Hybrid Adder Using Qca

Design of A Efficient Hybrid Adder Using Qca International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 PP30-34 Design of A Efficient Hybrid Adder Using Qca 1, Ravi chander, 2, PMurali Krishna 1, PG Scholar,

More information

Reliability Modeling of Nanoelectronic Circuits

Reliability Modeling of Nanoelectronic Circuits Reliability odeling of Nanoelectronic Circuits Jie Han, Erin Taylor, Jianbo Gao and José Fortes Department of Electrical and Computer Engineering, University of Florida Gainesville, Florida 6-600, USA.

More information

A NOVEL PRESENTATION OF PERES GATE (PG) IN QUANTUM-DOT CELLULAR AUTOMATA(QCA)

A NOVEL PRESENTATION OF PERES GATE (PG) IN QUANTUM-DOT CELLULAR AUTOMATA(QCA) A NOVEL PRESENTATION OF PERES GATE (PG) IN QUANTUM-DOT ELLULAR AUTOMATA(QA) Angona Sarker Ali Newaz Bahar Provash Kumar Biswas Monir Morshed Department of Information and ommunication Technology, Mawlana

More information

A Novel Design for Quantum-dot Cellular Automata Cells and Full Adders

A Novel Design for Quantum-dot Cellular Automata Cells and Full Adders A Novel Design for Quantum-dot Cellular Automata Cells and Full Adders Mostafa Rahimi Azghadi *, O. Kavehei, K. Navi Department of Electrical and Computer Engineering, Shahid Beheshti University, Tehran,

More information

Two Bit Arithmetic Logic Unit (ALU) in QCA Namit Gupta 1, K.K. Choudhary 2 and Sumant Katiyal 3 1

Two Bit Arithmetic Logic Unit (ALU) in QCA Namit Gupta 1, K.K. Choudhary 2 and Sumant Katiyal 3 1 Two Bit Arithmetic Logic Unit (ALU) in QCA Namit Gupta 1, K.K. Choudhary 2 and Sumant Katiyal 3 1 Department of Electronics, SVITS, Baroli, Sanwer Road, Indore, India namitg@hotmail.com 2 Department of

More information

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Heumpil Cho and Earl E. Swartzlander, Jr. Application Specific Processor Group Department of Electrical and Computer Engineering The University

More information

CHAPTER 3 QCA INTRODUCTION

CHAPTER 3 QCA INTRODUCTION 24 CHAPTER 3 QCA INTRODUCTION Quantum dot cellular automata provide a novel electronics paradigm for information processing and communication. It has been recognized as one of the revolutionary nanoscale

More information

DESIGN OF AREA DELAY EFFICIENT BINARY ADDERS IN QUANTUM-DOT CELLULAR AUTOMATA

DESIGN OF AREA DELAY EFFICIENT BINARY ADDERS IN QUANTUM-DOT CELLULAR AUTOMATA DESIGN OF AREA DELAY EFFICIENT BINARY ADDERS IN QUANTUM-DOT CELLULAR AUTOMATA 1 Shrinidhi P D, 2 Vijay kumar K 1 M.Tech, VLSI&ES 2 Asst.prof. Department of Electronics and Communication 1,2 KVGCE Sullia,

More information

NOVEL QCA CONTROL GATE AND NEW DESIGNING OF MEMORY ON THE BASIS OF QUANTUM DOT CELLULAR AUTOMATA WITH MINIMUM QCA BLOCKS

NOVEL QCA CONTROL GATE AND NEW DESIGNING OF MEMORY ON THE BASIS OF QUANTUM DOT CELLULAR AUTOMATA WITH MINIMUM QCA BLOCKS Indian J.Sci.Res. 2(1) : 96-100, 2014 ISSN : 2250-0138 (Online) ISSN: 0976-2876(Print) NOVEL QCA CONTROL GATE AND NEW DESIGNING OF MEMORY ON THE BASIS OF QUANTUM DOT CELLULAR AUTOMATA WITH MINIMUM QCA

More information

A Novel Design and Implementation of 8-3 Encoder Using Quantum-dot Cellular Automata (QCA) Technology

A Novel Design and Implementation of 8-3 Encoder Using Quantum-dot Cellular Automata (QCA) Technology A Novel Design and Implementation of 8-3 Encoder Using Quantum-dot Cellular Automata (QCA) Technology Md. Sofeoul-Al-Mamun Mohammad Badrul Alam Miah Fuyad Al Masud Department of Information and Communication

More information

DESİGN AND ANALYSİS OF FULL ADDER CİRCUİT USİNG NANOTECHNOLOGY BASED QUANTUM DOT CELLULAR AUTOMATA (QCA)

DESİGN AND ANALYSİS OF FULL ADDER CİRCUİT USİNG NANOTECHNOLOGY BASED QUANTUM DOT CELLULAR AUTOMATA (QCA) DESİGN AND ANALYSİS OF FULL ADDER CİRCUİT USİNG NANOTECHNOLOGY BASED QUANTUM DOT CELLULAR AUTOMATA (QCA) Rashmi Chawla 1, Priya Yadav 2 1 Assistant Professor, 2 PG Scholar, Dept of ECE, YMCA University

More information

Designing Cellular Automata Structures using Quantum-dot Cellular Automata

Designing Cellular Automata Structures using Quantum-dot Cellular Automata Designing Cellular Automata Structures using Quantum-dot Cellular Automata Mayur Bubna, Subhra Mazumdar, Sudip Roy and Rajib Mall Department of Computer Sc. & Engineering Indian Institute of Technology,

More information

Implementation of Binary to Gray Code Converters in Quantum Dot Cellular Automata

Implementation of Binary to Gray Code Converters in Quantum Dot Cellular Automata DOI: 10.15415/jotitt.2015.32010 Implementation of Binary to Gray Code Converters in Quantum Dot Cellular Automata SHIFATUL ISLAM, MOHAMMAD ABDULLAH-AL-SHAFI AND ALI NEWAZ BAHAR* Department of Information

More information

Design Adequate Multiplexer using Quantum dot Cellular Automata 1

Design Adequate Multiplexer using Quantum dot Cellular Automata 1 Design Adequate Multiplexer using Quantum dot Cellular Automata 1 Reena Singh Ahirwar, 2 Jyoti Dixit, 3 Kavita Singh Bagla 4 Umesh Barahdia 1 Research Scholar, Electronics and Communication Engineering

More information

1-bit and 2-bit comparator designs and analysis for quantum-dot cellular automata

1-bit and 2-bit comparator designs and analysis for quantum-dot cellular automata NANOSYSTEMS: PHYSICS, CHEMISTRY, MATHEMATICS, 2017, 8 (6), P. 709 716 1-bit and 2-bit comparator designs and analysis for quantum-dot cellular automata A. Mallaiah 1, G. N. Swamy 2, K. Padmapriya 3 1 Research

More information

A novel ternary quantum-dot cell for solving majority voter gate problem

A novel ternary quantum-dot cell for solving majority voter gate problem Appl Nanosci (2014) 4:255 262 DOI 10.1007/s13204-013-0208-y ORIGINAL ARTICLE A novel ternary quantum-dot cell for solving majority voter gate problem Mohammad A. Tehrani Safura Bahrami Keivan Navi Received:

More information

Available online at ScienceDirect. Procedia Computer Science 70 (2015 ) Bengal , India

Available online at   ScienceDirect. Procedia Computer Science 70 (2015 ) Bengal , India Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 70 (2015 ) 153 159 4 th International Conference on Eco-friendly Computing and Communication Systems (ICECCS) Design of

More information

Design of an Alphanumeric Symbol Encoder Circuit using Quantum Dot Cellular Automata

Design of an Alphanumeric Symbol Encoder Circuit using Quantum Dot Cellular Automata Design of an Alphanumeric Symbol Encoder Circuit using Quantum Dot Cellular Automata Ratna Chakrabarty 1, Anisha Paul 2, Ankita Majumder 3 Department of Electronics and Communication Engineering, Institute

More information

Combinational Logic. By : Ali Mustafa

Combinational Logic. By : Ali Mustafa Combinational Logic By : Ali Mustafa Contents Adder Subtractor Multiplier Comparator Decoder Encoder Multiplexer How to Analyze any combinational circuit like this? Analysis Procedure To obtain the output

More information

DELAY EFFICIENT BINARY ADDERS IN QCA K. Ayyanna 1, Syed Younus Basha 2, P. Vasanthi 3, A. Sreenivasulu 4

DELAY EFFICIENT BINARY ADDERS IN QCA K. Ayyanna 1, Syed Younus Basha 2, P. Vasanthi 3, A. Sreenivasulu 4 DELAY EFFICIENT BINARY ADDERS IN QCA K. Ayyanna 1, Syed Younus Basha 2, P. Vasanthi 3, A. Sreenivasulu 4 1 Assistant Professor, Department of ECE, Brindavan Institute of Technology & Science, A.P, India

More information

STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY

STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY E.N.Ganesh 1 / V.Krishnan 2 1. Professor, Rajalakshmi Engineering College 2. UG Student, Rajalakshmi Engineering College ABSTRACT This paper

More information

QCA Based Efficient Toffoli Gate Design and Implementation for Nanotechnology Applications

QCA Based Efficient Toffoli Gate Design and Implementation for Nanotechnology Applications QCA Based Efficient Design and Implementation for Nanotechnology Applications Bisma Bilal 1, Suhaib Ahmed 2, Vipan Kakkar 3 Department of Electronics and Communication Engineering, Shri Mata Vaishno Devi

More information

International Journal of Scientific & Engineering Research Volume 9, Issue 7, July ISSN

International Journal of Scientific & Engineering Research Volume 9, Issue 7, July ISSN International Journal of Scientific & Engineering Research Volume 9, Issue 7, July-2018 1995 Designing Efficient Multiplexer, Demultiplexer QCA logic circuits and Power Dissipation Analysis A new approach

More information

Design and Optimization of Parity Generator and Parity Checker Based On Quantum-dot Cellular Automata Santanu Santra, Utpal Roy

Design and Optimization of Parity Generator and Parity Checker Based On Quantum-dot Cellular Automata Santanu Santra, Utpal Roy Design and Optimization of Parity Generator and Parity Checker Based On Quantum-dot Cellular Automata Santanu Santra, Utpal Roy Abstract Quantum-dot Cellular Automata (QCA) is one of the most substitute

More information

Basic Logic Gate Realization using Quantum Dot Cellular Automata based Reversible Universal Gate

Basic Logic Gate Realization using Quantum Dot Cellular Automata based Reversible Universal Gate Basic Logic Gate Realization using Quantum Dot Cellular Automata based Reversible Universal Gate Saroj Kumar Chandra Department Of Computer Science & Engineering, Chouksey Engineering College, Bilaspur

More information

Quantum-dot cellular automata

Quantum-dot cellular automata Quantum-dot cellular automata G. L. Snider, a) A. O. Orlov, I. Amlani, X. Zuo, G. H. Bernstein, C. S. Lent, J. L. Merz, and W. Porod Department of Electrical Engineering, University of Notre Dame, Notre

More information

QUANTUM-DOT cellular automata (QCA) technology

QUANTUM-DOT cellular automata (QCA) technology IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 5, MAY 2017 575 Design of Efficient BCD Adders in Quantum-Dot Cellular Automata G. Cocorullo, P. Corsonello, F. Frustaci, and

More information

Design of Sequential Circuits Using MV Gates in Nanotechnology

Design of Sequential Circuits Using MV Gates in Nanotechnology 2015 IJSRSET Volume 1 Issue 2 Print ISSN : 2395-1990 Online ISSN : 2394-4099 Themed Section: Engineering and Technology Design of Sequential Circuits Using MV Gates in Nanotechnology Bahram Dehghan 1,

More information

Chapter 5 Arithmetic Circuits

Chapter 5 Arithmetic Circuits Chapter 5 Arithmetic Circuits SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 11, 2016 Table of Contents 1 Iterative Designs 2 Adders 3 High-Speed

More information

Design a Collector with More Reliability against Defects during Manufacturing in Nanometer Technology, QCA

Design a Collector with More Reliability against Defects during Manufacturing in Nanometer Technology, QCA Journal of Software Engineering and pplications, 2013, 6, 304-312 http://dx.doi.org/10.4236/jsea.2013.66038 Published Online June 2013 (http://www.scirp.org/journal/jsea) Design a Collector with More Reliability

More information

International Journal of Combined Research & Development (IJCRD) eissn: x;pissn: Volume: 7; Issue: 7; July -2018

International Journal of Combined Research & Development (IJCRD) eissn: x;pissn: Volume: 7; Issue: 7; July -2018 XOR Gate Design Using Reversible Logic in QCA and Verilog Code Yeshwanth GR BE Final Year Department of ECE, The Oxford College of Engineering Bommanahalli, Hosur Road, Bangalore -560068 yeshwath.g13@gmail.com

More information

Quantum-Dot Cellular Automata (QCA)

Quantum-Dot Cellular Automata (QCA) Quantum-Dot Cellular Automata (QCA) Quantum dots are nanostructures [nano-: one billionth part of] created from standard semiconductive material. A quantum dot can be visualized as a well. Electrons, once

More information

DESIGN OF REVERSIBLE ARITHMETIC AND LOGIC UNIT USING REVERSIBLE UNIVERSAL GATE

DESIGN OF REVERSIBLE ARITHMETIC AND LOGIC UNIT USING REVERSIBLE UNIVERSAL GATE DESIGN OF REVERSIBLE ARITHMETIC AND LOGIC UNIT USING REVERSIBLE UNIVERSAL GATE R.AARTHI, K.PRASANNA* Department of Electronics and Communication Engineering, Arasu Engineering College, Kumbakonam 612501.

More information

ISSN:

ISSN: 1306 DESIGN OF CARRY-LOOK-AHEAD ADDER USING REVERSIBLE LOGIC IMPLEMENTATION IN QCA SUBHASHEE BASU 1, ADITI BAL 2, SUPRIYA SENGUPTA 3 1, 2, 3 St Thomas College of Engineering and Technology,4 Diamond Harbour

More information

Efficient Quantum Dot Cellular Automata (QCA) Implementation of Code Converters

Efficient Quantum Dot Cellular Automata (QCA) Implementation of Code Converters Efficient Quantum ot Cellular Automata (QCA) mplementation of Converters J. qbal, F. A. Khanday *, N. A. Shah epartment of Electronics and nstrumentation Technology, University of Kashmir, Srinagar 190006,

More information

Logic. Combinational. inputs. outputs. the result. system can

Logic. Combinational. inputs. outputs. the result. system can Digital Electronics Combinational Logic Functions Digital logic circuits can be classified as either combinational or sequential circuits. A combinational circuit is one where the output at any time depends

More information

!"#$%&'()*"+,-./*($-"#+"0+'*"12%3+ (#3+4"#&'*"12%3+5'6+6)17-$%1$/)%8*

!#$%&'()*+,-./*($-#+0+'*12%3+ (#3+4#&'*12%3+5'6+6)17-$%1$/)%8* Università di Pisa!"$%&'()*"+,-./*($-"+"0+'*"12%3+ (3+4"&'*"12%3+5'6+6)17-$%1$/)%8* $%&'()*% I(8,4-(J1&-%9(0&/1/&14(,9155K0&6%4J,L(%&1MN51--4%&(',)0&6%4J,-(',)O151'%J2&(',L(%&() P&(Q14=(-R9(:(=, +$%,-..'/*0*'%

More information

An Efficient design of Binary comparators in Quantum Dot Cellular Automata

An Efficient design of Binary comparators in Quantum Dot Cellular Automata An Efficient design of Binary comparators in Quantum Dot Cellular Automata Dammalapati Dileep 1, Vallabhaneni Ramesh Babu 2 1 PG Scholar, Dept of ECE (VLSI &Amp; ES), V.K.R., V.N.B., & A.G.K. College of

More information

A NML-HDL Snake Clock Based QCA Architecture

A NML-HDL Snake Clock Based QCA Architecture International Journal of Scientific and Research Publications, Volume 4, Issue 2, February 2014 1 A NML-HDL Snake Clock Based QCA Architecture 1 Mr. M. B. Kachare, 2 Dr. P. H. Zope 1 ME I st (Dig. Electronics),

More information

Matrix multiplication using quantum-dot cellular automata to implement conventional microelectronics

Matrix multiplication using quantum-dot cellular automata to implement conventional microelectronics Matrix multiplication using quantum-dot cellular automata to implement conventional microelectronics Joshua D Wood 1,3 and P Douglas Tougaw 2 1 Student Member, IEEE, Department of Electrical and Computer

More information

Design of Multiplexer Based 64-Bit SRAM using QCA

Design of Multiplexer Based 64-Bit SRAM using QCA AUSTRALIAN JOURNAL OF BASIC AND APPLIED SCIENCES ISSN:1991-8178 EISSN: 2309-8414 Journal home page: www.ajbasweb.com Design of Multiplexer Based 64-Bit SRAM using QCA 1 K. Pandiammal and 2 D. Meganathan

More information

High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex Family

High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex Family International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 11, Issue 04 (April 2015), PP.72-77 High Speed Time Efficient Reversible ALU Based

More information

A new design and simulation of reversible gates in quantum-dot cellular automata technology

A new design and simulation of reversible gates in quantum-dot cellular automata technology A new design and simulation of reversible gates in quantum-dot cellular automata technology Moein Sarvaghad-Moghaddam, Ali A. Orouji,* Department of Electrical and Computer Engineering, Semnan University,

More information

Error Threshold for Individual Faulty Gates Using Probabilistic Transfer Matrix (PTM)

Error Threshold for Individual Faulty Gates Using Probabilistic Transfer Matrix (PTM) Available online at www.sciencedirect.com ScienceDirect AASRI Procedia 9 (2014 ) 138 145 2014 AASRI Conference on Circuits and Signal Processing (CSP 2014) Error Threshold for Individual Faulty Gates Using

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

COMBINATIONAL LOGIC FUNCTIONS

COMBINATIONAL LOGIC FUNCTIONS COMBINATIONAL LOGIC FUNCTIONS Digital logic circuits can be classified as either combinational or sequential circuits. A combinational circuit is one where the output at any time depends only on the present

More information

On the Analysis of Reversible Booth s Multiplier

On the Analysis of Reversible Booth s Multiplier 2015 28th International Conference 2015 on 28th VLSI International Design and Conference 2015 14th International VLSI Design Conference on Embedded Systems On the Analysis of Reversible Booth s Multiplier

More information

CMSC 313 Lecture 17. Focus Groups. Announcement: in-class lab Thu 10/30 Homework 3 Questions Circuits for Addition Midterm Exam returned

CMSC 313 Lecture 17. Focus Groups. Announcement: in-class lab Thu 10/30 Homework 3 Questions Circuits for Addition Midterm Exam returned Focus Groups CMSC 33 Lecture 7 Need good sample of all types of CS students Mon /7 & Thu /2, 2:3p-2:p & 6:p-7:3p Announcement: in-class lab Thu /3 Homework 3 Questions Circuits for Addition Midterm Exam

More information

Unit II Chapter 4:- Digital Logic Contents 4.1 Introduction... 4

Unit II Chapter 4:- Digital Logic Contents 4.1 Introduction... 4 Unit II Chapter 4:- Digital Logic Contents 4.1 Introduction... 4 4.1.1 Signal... 4 4.1.2 Comparison of Analog and Digital Signal... 7 4.2 Number Systems... 7 4.2.1 Decimal Number System... 7 4.2.2 Binary

More information

A Novel and Systematic Approach to Implement Reversible Gates in Quantum Dot Cellular Automata

A Novel and Systematic Approach to Implement Reversible Gates in Quantum Dot Cellular Automata Novel and Systematic pproach to Implement Reversible Gates in Quantum Dot Cellular utomata 1 P. SRVNN 2 P. KLPN 1 ssistant Professor 2 Professor Electronics and Communication Engineering, PSG College of

More information

Design of Digital Adder Using Reversible Logic

Design of Digital Adder Using Reversible Logic RESEARCH ARTICLE Design of Digital Adder Using Reversible Logic OPEN ACCESS Gowthami P*, RVS Satyanarayana ** * (Research scholar, Department of ECE, S V University College of Engineering, Tirupati, AP,

More information

Design Exploration and Application of Reversible Circuits in Emerging Technologies

Design Exploration and Application of Reversible Circuits in Emerging Technologies University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 4-7-216 Design Exploration and Application of Reversible Circuits in Emerging Technologies Saurabh Kotiyal

More information

DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA

DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA Nikitha.S.Paulin 1, S.Abirami 2, Prabu Venkateswaran.S 3 1, 2 PG students / VLSI

More information

Every time has a value associated with it, not just some times. A variable can take on any value within a range

Every time has a value associated with it, not just some times. A variable can take on any value within a range Digital Logic Circuits Binary Logic and Gates Logic Simulation Boolean Algebra NAND/NOR and XOR gates Decoder fundamentals Half Adder, Full Adder, Ripple Carry Adder Analog vs Digital Analog Continuous»

More information

Power dissipation in clocking wires for clocked molecular quantum-dot cellular automata

Power dissipation in clocking wires for clocked molecular quantum-dot cellular automata DOI 10.1007/s10825-009-0304-0 Power dissipation in clocking wires for clocked molecular quantum-dot cellular automata Enrique P. Blair Eric Yost Craig S. Lent Springer Science+Business Media LLC 2009 Abstract

More information

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing IT 204 Section 3.0 Boolean Algebra and Digital Logic Boolean Algebra 2 Logic Equations to Truth Tables X = A. B + A. B + AB A B X 0 0 0 0 3 Sum of Products The OR operation performed on the products of

More information

Lecture 22 Chapters 3 Logic Circuits Part 1

Lecture 22 Chapters 3 Logic Circuits Part 1 Lecture 22 Chapters 3 Logic Circuits Part 1 LC-3 Data Path Revisited How are the components Seen here implemented? 5-2 Computing Layers Problems Algorithms Language Instruction Set Architecture Microarchitecture

More information

Sample Test Paper - I

Sample Test Paper - I Scheme G Sample Test Paper - I Course Name : Computer Engineering Group Marks : 25 Hours: 1 Hrs. Q.1) Attempt any THREE: 09 Marks a) Define i) Propagation delay ii) Fan-in iii) Fan-out b) Convert the following:

More information

DESIGN OF OPTIMAL CARRY SKIP ADDER AND CARRY SKIP BCD ADDER USING REVERSIBLE LOGIC GATES

DESIGN OF OPTIMAL CARRY SKIP ADDER AND CARRY SKIP BCD ADDER USING REVERSIBLE LOGIC GATES Journal of Computer Science 10 (5): 723-728, 2014 ISSN: 1549-3636 2014 doi:10.3844/jcssp.2014.723.728 Published Online 10 (5) 2014 (http://www.thescipub.com/jcs.toc) DESIGN OF OPTIMAL CARRY SKIP ADDER

More information

Systems I: Computer Organization and Architecture

Systems I: Computer Organization and Architecture Systems I: Computer Organization and Architecture Lecture 6 - Combinational Logic Introduction A combinational circuit consists of input variables, logic gates, and output variables. The logic gates accept

More information

CMSC 313 Lecture 18 Midterm Exam returned Assign Homework 3 Circuits for Addition Digital Logic Components Programmable Logic Arrays

CMSC 313 Lecture 18 Midterm Exam returned Assign Homework 3 Circuits for Addition Digital Logic Components Programmable Logic Arrays MS 33 Lecture 8 Midterm Exam returned Assign Homework 3 ircuits for Addition Digital Logic omponents Programmable Logic Arrays UMB, MS33, Richard hang MS 33, omputer Organization & Assembly

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

The ternary quantum-dot cell and ternary logic

The ternary quantum-dot cell and ternary logic Lebar Bajec I, Zimic N and Mraz M 26 Nanotechnology 7 937 c 26 IOP Publishing Ltd The ternary quantum-dot cell and ternary logic I Lebar Bajec, N Zimic and M Mraz University of Ljubljana, Faculty of Computer

More information

Chapter 7 Logic Circuits

Chapter 7 Logic Circuits Chapter 7 Logic Circuits Goal. Advantages of digital technology compared to analog technology. 2. Terminology of Digital Circuits. 3. Convert Numbers between Decimal, Binary and Other forms. 5. Binary

More information

Microelectronics Journal

Microelectronics Journal Microelectronics Journal 41 (2010) 820 826 ontents lists available at Scienceirect Microelectronics Journal journal homepage: www.elsevier.com/locate/mejo new quantum-dot cellular automata full-adder Keivan

More information

Lecture 7: Logic design. Combinational logic circuits

Lecture 7: Logic design. Combinational logic circuits /24/28 Lecture 7: Logic design Binary digital circuits: Two voltage levels: and (ground and supply voltage) Built from transistors used as on/off switches Analog circuits not very suitable for generic

More information

CHAPTER 7. Exercises 17/ / /2 2 0

CHAPTER 7. Exercises 17/ / /2 2 0 CHAPTER 7 Exercises E7. (a) For the whole part, we have: Quotient Remainders 23/2 /2 5 5/2 2 2/2 0 /2 0 Reading the remainders in reverse order, we obtain: 23 0 = 0 2 For the fractional part we have 2

More information

!"#"$#%&'(&)(*+,'#+-(."//+/,0( 1+#&-,#&'(1$#%&'(%'(2%/%$&'3&'3 %'4+/,#&0(."//4#

!#$#%&'(&)(*+,'#+-(.//+/,0( 1+#&-,#&'(1$#%&'(%'(2%/%$&'3&'3 %'4+/,#&0(.//4# Università di Pisa!""$%&'(&)(*+,'+-(."//+/,0( 1+&-,&'(1$%&'(%'(2%/%$&'3&'3 %'4+/,&0(."//4 $%&'(()*+,+)% 4)6%?&)@0/&'A)./*0*/0?)%A0BBC./D'?@%E)'/0FGB0&&?'/),%+./D'?@%&),%+H0B0,'@-/),%E)'/)+ I/)J0?5)&KA)L)5%

More information

Unit 3 Session - 9 Data-Processing Circuits

Unit 3 Session - 9 Data-Processing Circuits Objectives Unit 3 Session - 9 Data-Processing Design of multiplexer circuits Discuss multiplexer applications Realization of higher order multiplexers using lower orders (multiplexer trees) Introduction

More information

Quasiadiabatic switching for metal-island quantum-dot cellular automata

Quasiadiabatic switching for metal-island quantum-dot cellular automata JOURNAL OF APPLIED PHYSICS VOLUME 85, NUMBER 5 1 MARCH 1999 Quasiadiabatic switching for metal-island quantum-dot cellular automata Géza Tóth and Craig S. Lent a) Department of Electrical Engineering,

More information

ADVANCES in NATURAL and APPLIED SCIENCES

ADVANCES in NATURAL and APPLIED SCIENCES ADVANCES in NATURAL and APPLIED SCIENCES ISSN: 1995-0772 Published BY AENSI Publication EISSN: 1998-1090 http://www.aensiweb.com/anas 2015 Special 9(17): pages 50-57 Open Access Journal Design and Implementation

More information

Estimation of Upper Bound of Power Dissipation in QCA Circuits

Estimation of Upper Bound of Power Dissipation in QCA Circuits IEEE TRANSACTIONS ON NANOTECHNOLOGY, 8, MANUSCRIPT ID TNANO--8.R Estimation of Upper Bound of Power Dissipation in QCA Circuits Saket Srivastava, Sudeep Sarkar, Senior Member, IEEE, and Sanjukta Bhanja,

More information

A Novel LUT Using Quaternary Logic

A Novel LUT Using Quaternary Logic A Novel LUT Using Quaternary Logic 1*GEETHA N S 2SATHYAVATHI, N S 1Department of ECE, Applied Electronics, Sri Balaji Chockalingam Engineering College, Arani,TN, India. 2Assistant Professor, Department

More information

Review for Test 1 : Ch1 5

Review for Test 1 : Ch1 5 Review for Test 1 : Ch1 5 October 5, 2006 Typeset by FoilTEX Positional Numbers 527.46 10 = (5 10 2 )+(2 10 1 )+(7 10 0 )+(4 10 1 )+(6 10 2 ) 527.46 8 = (5 8 2 ) + (2 8 1 ) + (7 8 0 ) + (4 8 1 ) + (6 8

More information

ROBUSTNESS AND POWER DISSIPATION IN QUANTUM-DOT CELLULAR AUTOMATA. A Dissertation. Submitted to the Graduate School. of the University of Notre Dame

ROBUSTNESS AND POWER DISSIPATION IN QUANTUM-DOT CELLULAR AUTOMATA. A Dissertation. Submitted to the Graduate School. of the University of Notre Dame ROBUSTNESS AND POWER DISSIPATION IN QUANTUM-DOT CELLULAR AUTOMATA A Dissertation Submitted to the Graduate School of the University of Notre Dame in Partial Fulfillment of the Requirements for the Degree

More information