Modeling and Estimation of Full-Chip Leakage Current Considering Within-Die Correlation

Size: px
Start display at page:

Download "Modeling and Estimation of Full-Chip Leakage Current Considering Within-Die Correlation"

Transcription

1 6.3 Modeling and Estimation of Full-Chi Leaage Current Considering Within-Die Correlation Khaled R. eloue, Navid Azizi, Farid N. Najm Deartment of ECE, University of Toronto,Toronto, Ontario, Canada ABSTRACT We resent an efficient technique for finding the mean and variance of the full-chi leaage of a candidate design, while considering logic-structures and both die-to-die and within-die rocess variations, and taing into account the satial correlation due to within-die variations. Our model uses a random gate concet to cature high-level characteristics of a candidate chi design, which are sufficient to determine its leaage. We show emirically that, for large gate count, the set of all chi designs that share the same high level characteristics have aroximately the same leaage, with very small error. Therefore, our model can be used as either an early or a late estimator of leaage, with high accuracy. In its simlest form, we show that full-chi leaage estimation reduces to finding the area under a scaled version of the within-die channel length auto-correlation function, which can be done in constant time. Categories and Subject Descritors B.7.2 [Integrated Circuits]: Design Aids; General Terms: Algorithms Keywords: Statistical Analysis, Leaage Power. 1. INTRODUCTION As a result of technology scaling, leaage current is becoming a major design challenge, affecting both circuit erformance and ower. Thus, estimating full-chi leaage becomes increasingly imortant. The leaage current of a circuit is not, however, simly the sum of the leaages of the devices in the circuit. Not only do logic-gate structures, such as stacing, affect the device leaage, but rocess variations mae leaage estimation statistical in nature. Full-chi leaage estimation is useful at different oints in the design flow. Towards the end of the design flow (late mode estimation), leaage estimation can be used as a final sign-off tool, and requires a comlete netlist with ossibly a circuit lacement. On the other hand, early estimation of leaage (early mode estimation) rovides the full-chi leaage given limited information about the design, which is very useful to allow for design lanning. While early wor on leaage estimation concentrated on early mode estimators, these wors [1, 2] either did not consider logic-gate structures and other transistor toologies, and/or did This wor was suorted in art by Intel Cor. and Altera Cor. Permission Permission to to mae mae digital digital or or hard hard coies coies of of all all or or art art of of this this wor wor for for ersonal ersonal or or classroom classroom use use is is granted granted without without fee fee rovided rovided that that coies coies are are not not made made or or distributed for for rofit rofit or or commercial advantage advantage and and that that coies coies bear bear this this notice notice and and the the full full citation citation on on the the first first age. age. To To coy coy otherwise, to or reublish, reublish, to to ost ost on on servers servers or or to to redistribute redistribute to to lists, lists, requires requires rior rior secific secific ermission and/or and/or a fee. fee. DAC DAC 27, 27, June June 4 8, 4 8, 27, 27, San San Diego, Diego, California, California, USA. USA. Coyright Coyright ACM ACM /7/6 ACM /7/6...$ Mean, Standard Deviation of Process Parameters Correlation Standard ce l library Mean, Standard Deviation of Leaage Process Library Design Ful-Chi Leaage statistics Mean Standard deviation Exected number of gates Exected histogram of standard cel usage Dimensions of the layout area Figure 1: Leaage Estimation Model and the ighlevel characteristics required not consider the effect of correlation between the variations on the total leaage. More recent wor [3, 4] has taen into consideration both the effects of gate toologies and correlation. owever these methods are late mode estimators of leaage that require minimally the circuit netlist and ossibly a circuit lacement to rovide a leaage estimate, and they oerate at the level of the netlist, so they can be exensive on large circuits, with a comlexity of O( ) (some refinements are ossible to reduce this cost, but with some loss of accuracy [3]). Given the need to budget for ower constraints, there is a need for accurate early mode estimators that tae into consideration both correlation and gate toologies. As for late mode estimators, more efficient techniques are required. We resent a new model and methodology for full-chi leaage estimation, in which certain high-level characteristics of a candidate chi design are used to determine its leaage statistics with high accuracy. For late mode estimation, these characteristics can be extracted from the netlist and/or lacement. For early mode estimation, these characteristics can be simly secified as exected values based on revious design exerience or on decisions made in the floorlanning stage. Our methodology uses a concet of a random gate to cature these characteristics and considers both correlations and gate toologies. We show that these high-level characteristics are sufficient to determine the leaage statistics of a design. A bloc diagram of the system is shown in Fig. 1. Given information about (1) the rocess, (2) the standard cell library, and (3) certain high-level design characteristics, we redict the mean and standard deviation of full-chi leaage. The rocess information includes the mean and standard deviation of the underlying rocess variations, such as the variations in transistor length or threshold voltage, and information regarding the within-die satial correlation. The standard cell library information includes the leaage characteristics of the cell library under rocess variations; this information can be obtained by re-characterizing the cells in the library. Finally, some information on the candidate design is needed, including the (extracted or exected) cell usage histogram (i.e., frequency of use distribution) for cells in the li- 93

2 brary, the (extracted or exected) number of cells in the design, and the dimensions of the layout area. With this, we determine the full-chi leaage statistics (mean and variance) for the design. To carry out the estimation, we roose a model which is generic, in the sense that it is a temlate for all designs that share the same values for these high-level characteristics. We use robability theory as the vehicle to imlement this temlate, so that all designs that share the same values of these high-level characteristics will be members or instances of this robabilistic temlate model. We introduce the concet of the Random Gate (RG) which allows us to cature the characteristics of a candidate design. This allows the leaage statistics to be obtained in O(n) time, where n is the number of cells in the design, but we then also show that, for large gate counts, the statistics of the full-chi leaage can be written in integral form, allowing for the comutational comlexity of our estimator to become O(1) time. 1 The ey oint, the thesis of this wor, is that large designs that share the same high-level characteristics will have aroximately the same leaage statistics and, by leveraging this roerty, our estimation engine rovides accurate and efficient estimation, either early or late in the design flow. 2. MODELING Variations normally have two comonents: a Die-to-Die (D2D) comonent, and a Within-Die (WID) comonent. The D2D comonent is a variation between different instances of the die and is shared by all devices on the same die. The WID comonent of variation, however, causes different devices on the same die to have different rocess arameters; the WID variations have some correlation across the die. D2D and WID variations are considered to be (statistically) indeendent, so that the total variance of a rocess arameter, such as transistor length, when both sources of variation are considered, can be written as σ 2 = σdd 2 + σ2 wd where σdd 2 is the variance of the D2D variation and σ2 wd is the variance of the WID variation. To model the WID satial correlation between variations in transistor characteristics, we assume the existence of a satial correlation function [5] that deends on the distance between the two transistors. Given the D2D and WID arameter variances, and the WID correlation, one can easily determine the total correlation between arameter variations (due to D2D and WID effects) by a simle normalization. 2.1 Cell Modeling While the distribution of the underlying rocess arameters can be obtained from the foundry, the leaage distributions of each cell can not be immediately obtained. Since each cell has a different toology, with different transistor stacs, the leaage in each cell is affected differently by the underlying variations in the transistor length and threshold voltage. Furthermore the cell s inuts also affect the leaage distribution of each cell. Leaage current is determined rimarily by transistor, not interconnect, arameters. Of the many transistor arameters, the truly relevant ones are channel-length (L) and threshold voltage (V t), due to the exonential deendence of leaage current on these two arameters. Threshold voltage variations are mainly due to two effects: random doant fluctuations in the channel and the V t roll-off effect whereby V t varies in resonse to variations in L. For this wor, when we refer to V t variations, we secifically refer to the effect of random doant fluctuations. We lum the effect of V t roll-off on leaage into the L variations, because the two are directly related. This allows us to mae the simle statement that V t variations are urely random (indeendent) across the die [6], while L variations are not [3] (they include some within-die correlation). This aroach is in line with the modern treatment of leaage in ublished wor [2]. Since V t variations are indeendent, while L variations are not, it follows immediately that, for full-chi leaage estimation, while V t variations may be relevant for finding the mean of the total leaage, they are definitely not relevant for finding the variance 1 When used as a late mode estimator, there will be some additional cost to extract the cell usage histogram from the netlist, but that also can be constant-time, or linear-time in the worst case. of the total leaage. The reason for this is simle: the variance of the sum of n indeendent random variables is nσ 2, while the variance of the sum of n highly correlated random variables is σ 2. Thus, for large chis (large n), the variance of chi leaage due to V t variations is negligible comared to that due to L variations. This too is in line with the modern ublished wor on leaage [2]. Thus, for leaage variance estimation, we can focus on L alone. As for the effect of V t variations on the mean leaage, that can be easily determined through a multilicative term that deends on the variance of V t, which is derived from the mean of the log-normal distribution, similar to [8]. As this is standard textboo material, it will not be covered here. To model the distribution of the leaage of each cell, we use two methods which have different levels of comutational comlexity and accuracy. The first method uses a Monte-Carlo (MC) analysis to obtain the leaage statistics of each cell. While this technique needs extensive simulations, it does give us some confidence in the resulting distributions. The second method, an analytical aroach, uses a limited samling of the leaage of the cell, and then fits the leaage of the cell into a functional form, from which we comute the mean and variance of the distribution. These two methods are discussed below, and we then discuss correlation and circuit state deendency Monte-Carlo Technique We use a commercial 9nm CMOS technology, along with its associated standard cell library of which we use 62 cells which include the Static Random Access Memory (SRAM) cell, various fli flos and a range of different logic cells. For each cell and inut combination, we erform a MC analysis to determine the mean (µ) and standard deviation (σ) of the cell s leaage. The MC analysis is done assuming all the variations in the transistor channel length within the cell are comletely correlated, which is reasonable in ractice given that the transistors in each cell are very close together Analytical Technique Rao et al. introduced [2] a mathematical model to exress the leaage current, X, of a given cell as a function of channel length, L, to be X = ae bl+cl2 and showed that a fitted model can accurately model the leaage of different toologies including individual transistors and transistor stacs. In our wor, after we fit each cell s leaage into the same functional form, we use the trilet (a, b, c) to determine the mean and variance of the underlying leaage distribution exactly. The derivation, which is not shown due to sace restrictions, results in: µ X = M Y (1) (1) σ 2 X = M Y(2) µ 2 X (2) where M Y (t) is the moment-generating function of Y = ln X which can be shown to be: M Y (t) = (1 2K 1 t) 1 2 e K 2 2 K 1 t 1 2K 1 t +K 3t (3) by using the moment generating function of the Non-Central Chi-square distribution where K 1, K 2 and K 3 are simle functions of the regression arameters (a, b, c) and the mean µ and standard deviation σ of the length, as follows: K 1 = c σ 2 K 2 = 1 σ K 3 = ln a + bµ + c µ 2 c b 2c + µ 2 b + µ (4) 2c To chec the accuracy of the analytical model in determining the mean and standard deviation of cell s leaage, we comare the results obtained from the analytic model to the results obtained through MC analysis for all 62 cells with all inut combinations. For the mean, the analytical method is quite close to the MC results; there is less than a 2% error for all gates, and the average absolute error is.44%. For the standard deviation, the average absolute error is 3.1%, and the maximum error is about 1%. (5) 94

3 Leaage Correlation SPICE MC Analytical Y=X Length Correlation Figure 2: Correlation in length vs in leaage for different gates Mean Leaage Exected Frequency of Cell Usage Examle 1 Examle 2 Examle 3 The error in the mean and standard deviation is not a result of the mathematical derivation, but due to the leaage curve not being exactly maed to the functional form ae bl+cl2. Thus, there is a trade-off between comutational comlexity and accuracy; if MC analysis is erformed on all gates, then the distribution models for all gates will have high accuracy; on the other hand, using the functional form requires minimal simulation time Leaage Correlation As mentioned, we assume the existence of a satial correlation function which gives the correlation between rocess arameters as a function of the distance searating two locations, but which does not rovide the correlation between the leaages of two cells at these locations. Using the regressed trilets, (a, b, c), we have develoed an analytical method that determines the leaage correlation between any air of gates laced at two arbitrary locations on the die given the correlation in their channel lengths. In other words, we have determined a maing ρ m,n(l i, l j ) = f m,n (ρ L (l i, l j )) where ρ L (l i, l j ) is the channel length correlation between two locations l i and l j, f m,n( ) is the derived maing for gates m and n and ρ m,n(l i, l j ) is the leaage correlation for gates m and n laced at locations l i and l j resectively. The details of this maing are not shown for lac of sace, but Fig. 2 shows the results of the leaage correlation given a length correlation for both the MC analysis and the analytical technique for a single air of gates; note that the analytical technique shows a good match to the MC results. Also the leaage correlation is near the y = x line, at which leaage correlation equals channel length correlation. We have erformed the analysis for all airs of gates, and shown that the analytical maing rovides accurate results in all cases. The set of maings f m,n( ) for different gates are slightly different but they all closely follow the y = x line. We will use this observation that the leaage correlation is close to the length correlation in the case where MC analysis is used to obtain the cell leaage statistics since we do not have the (a, b, c) trilet to obtain the leaage correlation exactly Inut Combinations The signal robability (robability that a logic signal is 1) certainly has an effect on leaage. This effect is quite strong for single logic gates, causing a sread of 1X in some cases. owever, for large circuits, the imact of signal robability is significantly diminished due to averaging of their effects (law of large numbers). To study this effect, we have swet the signal robabilities from to 1 and have found, as shown in Fig. 3, that the effect on large circuit leaage is not ronounced and is also deendent on the frequency by which various cells are emloyed in the design. The figure shows the leaage mean, and similar behavior has been found for the leaage variance. For a ractical solution aroach, one has the otion of simly setting the signal robabilities at some ball-ar mid-level value, such as.5. A better aroach, which we emloy, is to first characterize every cell for all its inut states; then, based on this re-characterized data, and for the given frequency of use distribution for cells, find the signal robability setting which maximizes the mean leaage, effectively finding the maximum of a lot such as Fig. 3. Emirically, we find that this setting turns to be very good for finding the maximum leaage mean for the candidate design, as well as its maximum leaage variance. This aroach gives a conservative estimate, in the face of uncertainty about eventual signal robabilities Probability of signals being '1' Figure 3: Effects of signal robability 1 2 W 1 2 m -1 m W Figure 4: Abstract organization of die 2.2 Full-Chi model What determines the leaage of a large circuit? We will demonstrate emirically that certain high-level characteristics of a candidate design are sufficient to determine its leaage. In a librarybased standard-cell design environment, these characteristics are: 1) the cell library (characterized for leaage), 2) the (actual or exected) frequency of usage for cells in the library, 3) the (actual or exected) number of cells in the design, and 4) the dimensions of the layout area. In order to carry out the leaage estimation, we roose a model for the candidate chi design which is generic, in the sense that it is a temlate for all designs that share the same values for these high-level characteristics. We use robability theory as the vehicle to construct this temlate, so that all designs that share the same values of these high-level characteristics will be members or instances of this robabilistic temlate model. After develoing our leaage redictor based on this model, we will then show that the leaages of all instances of secific designs which are members of this model converge towards the redicted leaage value as the circuit size increases; Fig. 6 offers a a snea review of this convergence Model Definition and Suitability Formally, our full-chi model is a rectangular array of a number (n) of identical sites, as shown in Fig. 4, where every site is occuied by a robabilistic abstraction which we call a random gate (RG), and such that the dimensions of the array are equal to the dimensions of the layout area of the candidate design, and that the number of sites n is equal to the number of cells in the design. But what is a RG? Simly ut, a RG is similar to a Random Variable (RV); however, unlie a RV which assumes real numbers as outcomes or instances, the instances of a RG are gates from the standard-cell library, with robabilities identical to those in the frequency of use distribution. In other words, the RG discrete robability distribution is identical to the frequency of cell usage of the design. This full-chi array model is a suitable robabilistic reresentation of all designs having the high-level characteristics highlighted earlier. On one hand, its dimensions and gate count match the dimensions of the layout and the number of cells in the candidate design. On the other hand, the frequency of cell usage of the design is also matched by the way the RG discrete robability distribution is defined. ence, if an instance of the full-chi model is defined to be n RG instances at every site in the array, then the frequency of cell usage for that full-chi model instance will be identical to the frequency of cell usage of the candidate design, for large n. Therefore, the full-chi model is a robabilistic reresentation of a set of designs with the same high-level characteristics, and those designs are in fact instances of our model. Using this fact, we will use the full-chi model to estimate the leaage of the candidate design. One ossible reaction to this roosal is that all sites in the fullchi model are of identical size while obviously cells in the library are of different sizes. Another comment is that the array seems to leave no room for interconnect routing. Both these issues do not resent a roblem. In fact, the size of a site is really the size of the layout area, divided by the number of cells, thus it is the average size of a cell and the interconnect that may be associated with it. Thus, all that is catured by the notion of a RG site is the idea that the leaage due to one cell would on average be sread out or allocated to the layout area of a single site. 95

4 2.2.2 Leaage Statistics of a Random Gate As stated earlier, the RG is simly a gate iced at random from the library, according to a discrete robability distribution which is identical to the frequency of gate usage. In order to erform full-chi leaage estimation based on our model, we need to construct and mathematically define the leaage statistics of the RG. Let I be an RV that taes as values the tye of a gate iced from the library at random to be used in the design. This means that I ɛ {1, 2,..., }, where is the total number of gates in the library, and that the distribution of I is identical to the frequency of gate usage. Let α i be the frequency of usage of gate i. Then: P{I = i} = α i i = 1, 2,..., and i=1 Let X I be an RV that reresents the leaage of a gate iced according to the distribution of I. Then by definition, X I is the leaage of the RG. Consequently, X I is defined on two robability saces; the sace of X due to channel length variations, and the sace of I due to the choice of gate tye. Note that for an arbitrary realization of say I = i, X I will be equal to X i, that is the RV that reresents the leaage of gate of tye i. Recall that the statistics of X i, i.e., its mean µ i and standard deviation σ i, have already been determined during re-characterization for all gates i in the library. We can determine the mean leaage µ XI of the RG as follows: µ XI =E[X I ]=E I [E X [X I I=i]]=E I [E X [X i ]]= i=1 α i µ i (7) α i = 1 (6) where E X [ ] and E I [ ] are the exected values over the saces of X and I, resectively. To determine the variance σx 2 of X I I, we start by determining its second moment E X I 2 as: E[X I2 ]=E I[E X[X I 2 I=i]]=E I[E X[X 2 i ]]= i=1 α i (σ 2 i +µ2 i ) (8) Given the second moment and the mean, the variance can be trivially determined as E X I 2 µ 2 X I Random Gate Leaage Correlation In addition to the RG leaage statistics defined in the revious section, we need to construct and define the RG leaage correlation. Recall that X I is defined as the leaage of a random gate iced from the library according to the distribution of I, and laced at some location on the die. Let X I (l i ) and X I (l j ) be the leaages of the two RGs at two arbitrary locations l i and l j. It is imortant to understand that X I (l i ) and X I (l j ) are identically distributed, and any correlation among these RVs is only due to the correlation over the sace of rocess variations and not over the sace of gate selection. Let C XI (l i, l j ) be the covariance of X I (l i ) and X I (l j ), which is defined as C XI (l i, l j ) = E [X I (l i ) X I (l j )] µ 2 X I. It can be shown, using conditional exectation, that this covariance is given by: C XI (l i,l j )= m=1 n=1 αm αn Cm,n(l i,l j ) (9) where C m,n(l i, l j ) is the covariance of the leaage of two gates of tyes m and n, when laced at locations l i and l j, resectively, i.e., X m(l i ) and X n(l j ). Note that the covariance of the leaage of the random gate X I is the exected value over I of the covariances of all airs of gate tyes. This result is somewhat intuitive since the random gate is an abstraction that embodies all gates in the library. Starting from (9), we can normalize C m,n(l i, l j ) by the standard deviations of gates m and n to get their leaage correlation ρ m,n. Then, we use the analytical maing f m,n( ) from Sectio.1.3 to relate the leaage correlation ρ m,n to channel length correlation ρ L, as follows: C XI (l i,l j ) = m=1 n=1 αm αn [ρm,n(l i,l j )σ m σ n] = m=1 n=1 αm αn σm σn fm,n(ρ L(l i,l j )) (1) Let F (ρ L (l i, l j )) be equal to the final exression in (1) above, and notice that this equation assumes that l i and l j are different. When they are the same, C XI (l i, l j ) is just the variance σ 2 X I. Thus: C XI (l i,l j )= F (ρ L (l i, l j )) for l i l j σ 2 X I for l i = l j (11) By enforcing this correlation structure on our RG array, we ensure that instances of this array have the same correlation structure as the candidate design. 3. FULL-CIP LEAKAGE ESTIMATION For a secific laced design, based on a re-characterized cell library, one can determine the full-chi leaage statistics using techniques from standard robability theory [7] for finding the sum of a number of correlated RVs (each RV corresonds to the leaage of one cell instance). This would be an O( ) aroach, which can be exensive for large circuits (some refinements are ossible to reduce this cost, but with some loss of accuracy [3]). Throughout this aer, we will refer to the leaage obtained from such an O( ) aroach as the true leaage of a given design. Aart from the issue of comutational cost, such an aroach is available only later in the design flow once a netlist and lacement are available; it is useful only as a final chec, and not as a relude to corrective action. In this section, we will first show how we can determine the full-chi leaage statistics in linear time, O(n), and then show how this can be imroved to obtain the statistics in constant time, O(1). Imortantly, we will also show that, for large gate counts, the statistics of any secific design that shares the same high-level characteristics under consideration converge to the values redicted by our model. 3.1 Linear-time method Let I T be an RV that reresents the leaage of our full-chi model, i.e., of the array of n RGs. This means that: n I T = X I (l i ) (12) i=1 where l i is the location of the i th random gate. We are interested in determining the statistics of I T, namely its mean µ IT and variance σi 2. The mean of I T T is equal to: n n µ IT =E[I T ]= i=1 E[X I (l i )]= i=1 E[X I ]=n µ XI (13) The variance of I T can be easily determined using a result from robability theory that the variance of a sum of correlated RVs is equal to the sum of airwise covariances [7]. In other words: n n σi 2 T = C XI (l a, l b ) (14) a=1 b=1 Note that the above double summation accounts also for the cases where l a = l b, for which the covariance is essentially the variance. Using the fact that any covariance can be written in terms of the correlation, C XI (l a, l b ) = ρ XI (l a, l b )σx 2, we can I write the total leaage variance in its final form: n n σi 2 T = σx 2 I ρ XI (l a, l b ) (15) a=1 b=1 where the variance of the full-chi leaage is a function of the variance of the random gate and the extent of leaage correlation across the chi. At this oint, we have determined the mean of the total leaage (in constant time), and have shown that the comutation of the variance of the total leaage requires a double summation over the number of gates on the chi. This O( ) comlexity is not ractically accetable, esecially nowing that n can be extremely large, on the order of millions. By taing into account the shae of the die and the sole deendence of the leaage correlation on the distance between different locations, we are able to cut down the comlexity of comuting the total leaage variance to O(n), as follows. Let the RG array consist of rows and m columns, where the total number of gates, n, is equal to the roduct m, as shown in Fig. 4. Each location or site on the grid can be reresented by a air (r, s) where r is the horizontal index taing values r = 1,..., m and s is the vertical index taing values s = 1,...,. Also, assume that the height and width W of 96

5 j d12 Figure 5: Number of occurrences of a certain distance vector Table 1: % Error in full-chi Standard Deviation for ISCAS85 circuits comared to the RG estimates mi c499 c1355 c432 c198 c88 c267 c5315 c7552 c %.41% 1.14%.36%.74%.52%.23%.34% 1.38% the array are nown. Let and W be the height and width of the site where every gate will be laced. Given the above arameters, the centre to centre distance d ij between any two sites (r 1, s 1 ) and (r 2, s 2 ) can be easily determined to be d ij = (i W ) 2 +(j ) 2 where i is defined as the algebraic difference in horizontal indices, i.e., (r 2 r 1 ), and j is defined as the algebraic difference in vertical indices, i.e., (s 2 s 1 ). Note that i =, ±1,..., ±(m 1) and j =, ±1,..., ±( 1). Now recall the total leaage variance defined in (15) where the double summation covers all ossible airs of locations, and each location is a site on the grid defined by two indices. Since the correlation deends only on the distance d ij between the airs of locations, we can simlify the above exression greatly by erforming the sum over the different distances rather than the airs of locations. To do that, however, we need to determine the number of times each distance d ij occurs. This is relatively easy for a rectangular m grid, as can be seen in Fig. 5, where the number of times a distance d ij occurs along the width of the die is m i and along the height of the die is j. Using these two value, the number of occurrences n ij of d ij can be determined to be the following: m n ij = (m i ) ( j ) (16) Since the leaage correlation between any two given locations deends only on the distance between these locations, we will exlicitly highlight this fact, ρ XI (l a, l b ) = ρ XI (d ij ) where i and j in the above equation are the algebraic differences in the horizontal and vertical indices of l a and l b. Starting from (15), we will transform the quadratic summation that runs over all airs of locations, into a summation that runs over the set of ossible distances induced by the rectangular shae of the grid. This set will be covered if all the algebraic differences i and j are covered. After accounting for the number of times each algebraic difference occurs, n ij, we get the following exression for the total leaage variance: = σ 2 X I m i= m j= (m i ) ( j ) ρ XI (d ij ) (17) where the double summation runs at most O( m) = O(n) times. This summation is linear in circuit size. Note that the exression in (17) is an exact transformation of (15) without any aroximations Validation Two tyes of validation tests were run, by first considering randomly generated circuits, as a way to mae conclusions about the set of all circuits of a given size, and then by considering secific benchmar circuits. In the first set of exeriments, a large number of circuits were randomly generated so as to match a frequency of cell usage that was secified a riori. The circuits were then laced and routed, and their true leaage statistics (mean and variance) were found. % Difference 1.% 7.5% 5.% 2.5%.% 1-2.5% % -7.5% -1.% -12.5% Maximum Positive Difference in the Mean Maximum Positive Difference in the Standard Deviation Maximum Negative Difference in the Mean Maximum Negative Difference in the Standard Deviation Number of Gates Figure 6: Errors in the estimation of mean and standard deviation of full-chi leaage Fig. 6 shows the maximum ositive and negative difference between the means and standard deviations of the leaages of these circuits comared to the estimates rovided by our model. It can be seen that as the number of gates in the circuits increases, the difference aroaches zero; at a circuit size of 11,236 gates, the maximum difference is 2.2%. This small amount of error indicates that the set of all chi designs that share the same high level characteristics have aroximately the same full chi leaage statistics and thus these high-level characteristics are sufficient to determine chi leaage. This first set of exeriments serves to justify the statement that this aroach is useful as an early estimator of full-chi leaage. In the second set of exeriments, we show how the model can be used as a late estimator of leaage for real (laced and routed) circuits. In this test, we have extracted the relevant high-level characteristics from each ISCAS85 circuit, namely the number of gates used, the histogram of cells used, and the dimensions of the layout; then with these values, we have used our model to estimate the leaage statistics of every circuit. Table 1 lists the errors in the full-chi leaage standard deviation, for all ISCAS85 circuits, between our model and the true leaage of these circuits. The errors are very small (notice, however, that these do not include any cell leaage modeling errors, which were discussed earlier in sectio.1). We do not show the errors in the mean leaage because they are truly negligible Simlified Correlation Assumtion In Sectio.1 we noted that the cell leaage statistics (i.e., the mean and standard deviation of leaage) can be obtained in two ways; either (1) a MC analysis would be done or (2) the cell s leaage would be fitted into a functional form to get three fitting arameters (a, b, c). Using these arameters, the leaage mean and standard deviation were analytically obtained. The fitted arameters also allowed us to determine the leaage correlation between any air of gates, ρ m,n, given the channel length correlation ρ L. Using the maing, f m,n( ), the RG leaage correlation was determined in (1). If we, however, choose to obtain the leaage statistics of each cell through MC analysis, we would not be able to use f m,n( ) to determine the leaage correlation between airs of cells because the correlation maing deends on the fitting arameters which are not available in MC mode. Without this maing, the RG leaage correlation cannot be determined. The solution to this roblem lies in Fig. 2, where we have noted that the leaage correlation of any air of cells is aroximately equal to the correlation in the channel length of these cells. In other words, ρ m,n ρ L, m, n. With this simlified correlation assumtion, (1) can be used to determine the RG leaage correlation. To determine the amount of error introduced by this assumtion, we have comared the difference between the standard deviation when assuming ρ m,n = ρ L comared to the analytical aroach, i.e., when using the true f m,n( ) maing. Regardless of whether we assume solely WID variations or have both WID and D2D variations, the ercentage error is below 2.8%. 3.2 Constant-time method In this section, we show how, for large values of n, we can aroximate the linear summation in (17) by an integral to obtain the statistics of full-chi leaage in constant time. 97

6 D Integration in Rectangular Coordinates Starting from (17), let x i = i W and y j = j, and by multilying out W and we obtain: = σ 2 X I W m i= m j= (W x i ) ( y j ) ρ XI (d ij ) (18) where W = m W, =, and d ij = x 2 i +y2 j. By using a double integral to aroximate the double summation over discrete values, we obtain: σ 2 X I ( W ) 2 W x= W y= (W x ) ( y ) ρ XI x 2 +y 2 dy dx (19) Let the area of a RG site be A site = W and the area of the die be A = na site. Note that the function being integrated is even, so that we can write: W (W x) ( y) ρ XI x 2 +y 2 dy dx (2) The exression in (2) aroximates the full-chi leaage variance for large values of n. Since the number of gates on the chi is tyically in the order of millions, the aroximation is valid in most cases. What is interesting about this exression is that it only requires the comutation of an integral, which can be erformed in constant-time using a good numerical integration routine; the leaage variance comutation does not deend on the number of gates n, it is O(1) D Integration in Polar Coordinates To mae our comutation even more efficient, under certain conditions we can transform the double integral in (2) into a single integral in olar coordinates. First we write an exact maing of (2) in double-integral form using olar coordinates: π/2 D(θ) (W r cos θ) ( r sin θ) ρ XI (r) r dr dθ (21) where D(θ) is the distance from the origin to the boundary of the rectangular integration domain, which is less than the largest distance on the array. If the distance at which the WID correlation function reaches is less than the minimum of the height or width of the array, then the double integral in (21) can be written as a single integral. To derive this single integral, let us for the moment assume that there are no D2D variations and that ρ XI becomes zero at a distance D max. If D max is less than min(w, ) then (2) can be written as: Dmax π/2 (W r cos θ) ( r sin θ) ρ XI (r) r dθ dr (22) Since the correlation function does not deend on θ, we can further simlify the above exression by searating the integrals: Dmax ρ XI (r) r π/2 (W r cos θ) ( r sin θ) dθ dr (23) The exression in the bracets can be analytically integrated and results in the following exression: g(r) =.5r 2 (W + )r + π 2 W (24) which leads to the final exression for full-chi leaage variance: 4 σ 2 X I Dmax ρ XI (r) r g(r)dr (25) When also considering D2D variations, recall from Sectio that the correlation never reaches zero, and thus the single integral technique does not immediately aly. owever, if we divide u the correlation function ρ XI (r) into a constant ortion, ρ C, and a ortion that does go to at D max, ρ X I (r) = ρ XI (r) ρ C, then the single integral can be written as: 4 σ 2 X I Dmax ρ X I (r) r g(r)dr + σx 2 I ρ C (26) % Error 1.% 1.% 1.%.1%.1% 1.E+ 1.E+2 1.E+4 1.E+6 1.E+8 1.E+1 Num Cells Figure 7: % Error between numerical integration and linear time algorithm Validation The value of the standard deviation of the full-chi leaage obtained from the numerical integration (2) was comared to the value obtained from the O(n) aroach resented in Section 3.1. As can be seen in Fig. 7, for circuits that have more than ten thousand gates there is less than.1% error between the numerical integration and that of the linear-time algorithm. For circuits with a small number of gates (<1) the % error is more than 1%; this is due to the granularity of the gates being a significant roortion of the total area of the design causing the integral to be less accurate than the true sum. For larger designs, the area of the logic gates comared to the area of the design aroaches zero, allowing the numerical integration to rovide good results, with less than.1% error. Given that the O(n) time algorithm taes less than one second for circuits with less than 1 gates, one can use the O(n) time algorithm in those cases, and use the numerical integration for circuits with a much larger number of gates. 4. CONCLUSION We resented a robabilistic full-chi model that can be used to estimate, in constant-time, the leaage statistics of candidate designs either at an early or a late stage, while considering withindie correlations. We roosed and verified that certain high-level characteristics of a candidate chi design are sufficient to determine its leaage. These high-level characteristics, shown in Fig. 1, include information about the rocess, the standard-cell library, and the design in question. We showed that, for large gate count, the set of all chi designs that share the same high level characteristics have aroximately the same full-chi leaage statistics, with very small error. We cature this set by a full-chi model based on Random Gates (RGs). 5. REFERENCES [1] S. Narendra, V. De, D. Antoniadis, and A. Chandraasan. Full-chi sub-threshold leaage ower rediction model of sub-.18µm CMOS. ISLPED, 22. [2] R. Rao, A. Srivastava, D. Blaauw, and D. Sylvester. Statistical analysis of subthreshold leaage current for VLSI circuits. TVLSI, 12(2): , February 24. [3]. Chang and S. S. Saatnear. Full-chi analysis of leaage ower under rocess variations, including satial correlations. DAC, 25. [4] A. Agarwal, K. Kang, and K. Roy. Accurate estimation and modeling of total chi leaage considering inter- & intra-die rocess variations. ICCAD, 25. [5] J. Xiong, V. Zolotov, and L. e. Robust extraction of satial correlation. ISPD, 26. [6] A. Keshavarzi, et al. Measurements and modeling of intrinsic fluctuations in MOSFET threshold voltage. ISLPED, 25. [7] A. Paoulis. Probability, Random Variables, and Stochastic Processes. McGraw-ill, New Yor, NY, 2nd edition, [8] D. elms, et al. Analysis and modeling of subthreshold leaage of RT-comonents under PTV and state variation. ISLPED,

AI*IA 2003 Fusion of Multiple Pattern Classifiers PART III

AI*IA 2003 Fusion of Multiple Pattern Classifiers PART III AI*IA 23 Fusion of Multile Pattern Classifiers PART III AI*IA 23 Tutorial on Fusion of Multile Pattern Classifiers by F. Roli 49 Methods for fusing multile classifiers Methods for fusing multile classifiers

More information

Towards understanding the Lorenz curve using the Uniform distribution. Chris J. Stephens. Newcastle City Council, Newcastle upon Tyne, UK

Towards understanding the Lorenz curve using the Uniform distribution. Chris J. Stephens. Newcastle City Council, Newcastle upon Tyne, UK Towards understanding the Lorenz curve using the Uniform distribution Chris J. Stehens Newcastle City Council, Newcastle uon Tyne, UK (For the Gini-Lorenz Conference, University of Siena, Italy, May 2005)

More information

EE 508 Lecture 13. Statistical Characterization of Filter Characteristics

EE 508 Lecture 13. Statistical Characterization of Filter Characteristics EE 508 Lecture 3 Statistical Characterization of Filter Characteristics Comonents used to build filters are not recisely redictable L C Temerature Variations Manufacturing Variations Aging Model variations

More information

Characterizing the Behavior of a Probabilistic CMOS Switch Through Analytical Models and Its Verification Through Simulations

Characterizing the Behavior of a Probabilistic CMOS Switch Through Analytical Models and Its Verification Through Simulations Characterizing the Behavior of a Probabilistic CMOS Switch Through Analytical Models and Its Verification Through Simulations PINAR KORKMAZ, BILGE E. S. AKGUL and KRISHNA V. PALEM Georgia Institute of

More information

Distributed Rule-Based Inference in the Presence of Redundant Information

Distributed Rule-Based Inference in the Presence of Redundant Information istribution Statement : roved for ublic release; distribution is unlimited. istributed Rule-ased Inference in the Presence of Redundant Information June 8, 004 William J. Farrell III Lockheed Martin dvanced

More information

Combining Logistic Regression with Kriging for Mapping the Risk of Occurrence of Unexploded Ordnance (UXO)

Combining Logistic Regression with Kriging for Mapping the Risk of Occurrence of Unexploded Ordnance (UXO) Combining Logistic Regression with Kriging for Maing the Risk of Occurrence of Unexloded Ordnance (UXO) H. Saito (), P. Goovaerts (), S. A. McKenna (2) Environmental and Water Resources Engineering, Deartment

More information

Hotelling s Two- Sample T 2

Hotelling s Two- Sample T 2 Chater 600 Hotelling s Two- Samle T Introduction This module calculates ower for the Hotelling s two-grou, T-squared (T) test statistic. Hotelling s T is an extension of the univariate two-samle t-test

More information

An Investigation on the Numerical Ill-conditioning of Hybrid State Estimators

An Investigation on the Numerical Ill-conditioning of Hybrid State Estimators An Investigation on the Numerical Ill-conditioning of Hybrid State Estimators S. K. Mallik, Student Member, IEEE, S. Chakrabarti, Senior Member, IEEE, S. N. Singh, Senior Member, IEEE Deartment of Electrical

More information

Scaling Multiple Point Statistics for Non-Stationary Geostatistical Modeling

Scaling Multiple Point Statistics for Non-Stationary Geostatistical Modeling Scaling Multile Point Statistics or Non-Stationary Geostatistical Modeling Julián M. Ortiz, Steven Lyster and Clayton V. Deutsch Centre or Comutational Geostatistics Deartment o Civil & Environmental Engineering

More information

Evaluating Circuit Reliability Under Probabilistic Gate-Level Fault Models

Evaluating Circuit Reliability Under Probabilistic Gate-Level Fault Models Evaluating Circuit Reliability Under Probabilistic Gate-Level Fault Models Ketan N. Patel, Igor L. Markov and John P. Hayes University of Michigan, Ann Arbor 48109-2122 {knatel,imarkov,jhayes}@eecs.umich.edu

More information

4. Score normalization technical details We now discuss the technical details of the score normalization method.

4. Score normalization technical details We now discuss the technical details of the score normalization method. SMT SCORING SYSTEM This document describes the scoring system for the Stanford Math Tournament We begin by giving an overview of the changes to scoring and a non-technical descrition of the scoring rules

More information

A Qualitative Event-based Approach to Multiple Fault Diagnosis in Continuous Systems using Structural Model Decomposition

A Qualitative Event-based Approach to Multiple Fault Diagnosis in Continuous Systems using Structural Model Decomposition A Qualitative Event-based Aroach to Multile Fault Diagnosis in Continuous Systems using Structural Model Decomosition Matthew J. Daigle a,,, Anibal Bregon b,, Xenofon Koutsoukos c, Gautam Biswas c, Belarmino

More information

arxiv: v1 [physics.data-an] 26 Oct 2012

arxiv: v1 [physics.data-an] 26 Oct 2012 Constraints on Yield Parameters in Extended Maximum Likelihood Fits Till Moritz Karbach a, Maximilian Schlu b a TU Dortmund, Germany, moritz.karbach@cern.ch b TU Dortmund, Germany, maximilian.schlu@cern.ch

More information

A Comparison between Biased and Unbiased Estimators in Ordinary Least Squares Regression

A Comparison between Biased and Unbiased Estimators in Ordinary Least Squares Regression Journal of Modern Alied Statistical Methods Volume Issue Article 7 --03 A Comarison between Biased and Unbiased Estimators in Ordinary Least Squares Regression Ghadban Khalaf King Khalid University, Saudi

More information

Shadow Computing: An Energy-Aware Fault Tolerant Computing Model

Shadow Computing: An Energy-Aware Fault Tolerant Computing Model Shadow Comuting: An Energy-Aware Fault Tolerant Comuting Model Bryan Mills, Taieb Znati, Rami Melhem Deartment of Comuter Science University of Pittsburgh (bmills, znati, melhem)@cs.itt.edu Index Terms

More information

MODELING THE RELIABILITY OF C4ISR SYSTEMS HARDWARE/SOFTWARE COMPONENTS USING AN IMPROVED MARKOV MODEL

MODELING THE RELIABILITY OF C4ISR SYSTEMS HARDWARE/SOFTWARE COMPONENTS USING AN IMPROVED MARKOV MODEL Technical Sciences and Alied Mathematics MODELING THE RELIABILITY OF CISR SYSTEMS HARDWARE/SOFTWARE COMPONENTS USING AN IMPROVED MARKOV MODEL Cezar VASILESCU Regional Deartment of Defense Resources Management

More information

CHAPTER-II Control Charts for Fraction Nonconforming using m-of-m Runs Rules

CHAPTER-II Control Charts for Fraction Nonconforming using m-of-m Runs Rules CHAPTER-II Control Charts for Fraction Nonconforming using m-of-m Runs Rules. Introduction: The is widely used in industry to monitor the number of fraction nonconforming units. A nonconforming unit is

More information

John Weatherwax. Analysis of Parallel Depth First Search Algorithms

John Weatherwax. Analysis of Parallel Depth First Search Algorithms Sulementary Discussions and Solutions to Selected Problems in: Introduction to Parallel Comuting by Viin Kumar, Ananth Grama, Anshul Guta, & George Karyis John Weatherwax Chater 8 Analysis of Parallel

More information

CHAPTER 5 STATISTICAL INFERENCE. 1.0 Hypothesis Testing. 2.0 Decision Errors. 3.0 How a Hypothesis is Tested. 4.0 Test for Goodness of Fit

CHAPTER 5 STATISTICAL INFERENCE. 1.0 Hypothesis Testing. 2.0 Decision Errors. 3.0 How a Hypothesis is Tested. 4.0 Test for Goodness of Fit Chater 5 Statistical Inference 69 CHAPTER 5 STATISTICAL INFERENCE.0 Hyothesis Testing.0 Decision Errors 3.0 How a Hyothesis is Tested 4.0 Test for Goodness of Fit 5.0 Inferences about Two Means It ain't

More information

Named Entity Recognition using Maximum Entropy Model SEEM5680

Named Entity Recognition using Maximum Entropy Model SEEM5680 Named Entity Recognition using Maximum Entroy Model SEEM5680 Named Entity Recognition System Named Entity Recognition (NER): Identifying certain hrases/word sequences in a free text. Generally it involves

More information

Estimation of the large covariance matrix with two-step monotone missing data

Estimation of the large covariance matrix with two-step monotone missing data Estimation of the large covariance matrix with two-ste monotone missing data Masashi Hyodo, Nobumichi Shutoh 2, Takashi Seo, and Tatjana Pavlenko 3 Deartment of Mathematical Information Science, Tokyo

More information

General Linear Model Introduction, Classes of Linear models and Estimation

General Linear Model Introduction, Classes of Linear models and Estimation Stat 740 General Linear Model Introduction, Classes of Linear models and Estimation An aim of scientific enquiry: To describe or to discover relationshis among events (variables) in the controlled (laboratory)

More information

MATHEMATICAL MODELLING OF THE WIRELESS COMMUNICATION NETWORK

MATHEMATICAL MODELLING OF THE WIRELESS COMMUNICATION NETWORK Comuter Modelling and ew Technologies, 5, Vol.9, o., 3-39 Transort and Telecommunication Institute, Lomonosov, LV-9, Riga, Latvia MATHEMATICAL MODELLIG OF THE WIRELESS COMMUICATIO ETWORK M. KOPEETSK Deartment

More information

Plotting the Wilson distribution

Plotting the Wilson distribution , Survey of English Usage, University College London Setember 018 1 1. Introduction We have discussed the Wilson score interval at length elsewhere (Wallis 013a, b). Given an observed Binomial roortion

More information

Deriving Indicator Direct and Cross Variograms from a Normal Scores Variogram Model (bigaus-full) David F. Machuca Mory and Clayton V.

Deriving Indicator Direct and Cross Variograms from a Normal Scores Variogram Model (bigaus-full) David F. Machuca Mory and Clayton V. Deriving ndicator Direct and Cross Variograms from a Normal Scores Variogram Model (bigaus-full) David F. Machuca Mory and Clayton V. Deutsch Centre for Comutational Geostatistics Deartment of Civil &

More information

Analysis of Multi-Hop Emergency Message Propagation in Vehicular Ad Hoc Networks

Analysis of Multi-Hop Emergency Message Propagation in Vehicular Ad Hoc Networks Analysis of Multi-Ho Emergency Message Proagation in Vehicular Ad Hoc Networks ABSTRACT Vehicular Ad Hoc Networks (VANETs) are attracting the attention of researchers, industry, and governments for their

More information

System Reliability Estimation and Confidence Regions from Subsystem and Full System Tests

System Reliability Estimation and Confidence Regions from Subsystem and Full System Tests 009 American Control Conference Hyatt Regency Riverfront, St. Louis, MO, USA June 0-, 009 FrB4. System Reliability Estimation and Confidence Regions from Subsystem and Full System Tests James C. Sall Abstract

More information

Information collection on a graph

Information collection on a graph Information collection on a grah Ilya O. Ryzhov Warren Powell February 10, 2010 Abstract We derive a knowledge gradient olicy for an otimal learning roblem on a grah, in which we use sequential measurements

More information

Bayesian Spatially Varying Coefficient Models in the Presence of Collinearity

Bayesian Spatially Varying Coefficient Models in the Presence of Collinearity Bayesian Satially Varying Coefficient Models in the Presence of Collinearity David C. Wheeler 1, Catherine A. Calder 1 he Ohio State University 1 Abstract he belief that relationshis between exlanatory

More information

An Analysis of Reliable Classifiers through ROC Isometrics

An Analysis of Reliable Classifiers through ROC Isometrics An Analysis of Reliable Classifiers through ROC Isometrics Stijn Vanderlooy s.vanderlooy@cs.unimaas.nl Ida G. Srinkhuizen-Kuyer kuyer@cs.unimaas.nl Evgueni N. Smirnov smirnov@cs.unimaas.nl MICC-IKAT, Universiteit

More information

Principles of Computed Tomography (CT)

Principles of Computed Tomography (CT) Page 298 Princiles of Comuted Tomograhy (CT) The theoretical foundation of CT dates back to Johann Radon, a mathematician from Vienna who derived a method in 1907 for rojecting a 2-D object along arallel

More information

Metrics Performance Evaluation: Application to Face Recognition

Metrics Performance Evaluation: Application to Face Recognition Metrics Performance Evaluation: Alication to Face Recognition Naser Zaeri, Abeer AlSadeq, and Abdallah Cherri Electrical Engineering Det., Kuwait University, P.O. Box 5969, Safat 6, Kuwait {zaery, abeer,

More information

Keywords: pile, liquefaction, lateral spreading, analysis ABSTRACT

Keywords: pile, liquefaction, lateral spreading, analysis ABSTRACT Key arameters in seudo-static analysis of iles in liquefying sand Misko Cubrinovski Deartment of Civil Engineering, University of Canterbury, Christchurch 814, New Zealand Keywords: ile, liquefaction,

More information

Characteristics of Beam-Based Flexure Modules

Characteristics of Beam-Based Flexure Modules Shorya Awtar e-mail: shorya@mit.edu Alexander H. Slocum e-mail: slocum@mit.edu Precision Engineering Research Grou, Massachusetts Institute of Technology, Cambridge, MA 039 Edi Sevincer Omega Advanced

More information

Computer arithmetic. Intensive Computation. Annalisa Massini 2017/2018

Computer arithmetic. Intensive Computation. Annalisa Massini 2017/2018 Comuter arithmetic Intensive Comutation Annalisa Massini 7/8 Intensive Comutation - 7/8 References Comuter Architecture - A Quantitative Aroach Hennessy Patterson Aendix J Intensive Comutation - 7/8 3

More information

Notes on Instrumental Variables Methods

Notes on Instrumental Variables Methods Notes on Instrumental Variables Methods Michele Pellizzari IGIER-Bocconi, IZA and frdb 1 The Instrumental Variable Estimator Instrumental variable estimation is the classical solution to the roblem of

More information

PER-PATCH METRIC LEARNING FOR ROBUST IMAGE MATCHING. Sezer Karaoglu, Ivo Everts, Jan C. van Gemert, and Theo Gevers

PER-PATCH METRIC LEARNING FOR ROBUST IMAGE MATCHING. Sezer Karaoglu, Ivo Everts, Jan C. van Gemert, and Theo Gevers PER-PATCH METRIC LEARNING FOR ROBUST IMAGE MATCHING Sezer Karaoglu, Ivo Everts, Jan C. van Gemert, and Theo Gevers Intelligent Systems Lab, Amsterdam, University of Amsterdam, 1098 XH Amsterdam, The Netherlands

More information

Information collection on a graph

Information collection on a graph Information collection on a grah Ilya O. Ryzhov Warren Powell October 25, 2009 Abstract We derive a knowledge gradient olicy for an otimal learning roblem on a grah, in which we use sequential measurements

More information

Estimating function analysis for a class of Tweedie regression models

Estimating function analysis for a class of Tweedie regression models Title Estimating function analysis for a class of Tweedie regression models Author Wagner Hugo Bonat Deartamento de Estatística - DEST, Laboratório de Estatística e Geoinformação - LEG, Universidade Federal

More information

Tests for Two Proportions in a Stratified Design (Cochran/Mantel-Haenszel Test)

Tests for Two Proportions in a Stratified Design (Cochran/Mantel-Haenszel Test) Chater 225 Tests for Two Proortions in a Stratified Design (Cochran/Mantel-Haenszel Test) Introduction In a stratified design, the subects are selected from two or more strata which are formed from imortant

More information

Session 5: Review of Classical Astrodynamics

Session 5: Review of Classical Astrodynamics Session 5: Review of Classical Astrodynamics In revious lectures we described in detail the rocess to find the otimal secific imulse for a articular situation. Among the mission requirements that serve

More information

State Estimation with ARMarkov Models

State Estimation with ARMarkov Models Deartment of Mechanical and Aerosace Engineering Technical Reort No. 3046, October 1998. Princeton University, Princeton, NJ. State Estimation with ARMarkov Models Ryoung K. Lim 1 Columbia University,

More information

dn i where we have used the Gibbs equation for the Gibbs energy and the definition of chemical potential

dn i where we have used the Gibbs equation for the Gibbs energy and the definition of chemical potential Chem 467 Sulement to Lectures 33 Phase Equilibrium Chemical Potential Revisited We introduced the chemical otential as the conjugate variable to amount. Briefly reviewing, the total Gibbs energy of a system

More information

STABILITY ANALYSIS AND CONTROL OF STOCHASTIC DYNAMIC SYSTEMS USING POLYNOMIAL CHAOS. A Dissertation JAMES ROBERT FISHER

STABILITY ANALYSIS AND CONTROL OF STOCHASTIC DYNAMIC SYSTEMS USING POLYNOMIAL CHAOS. A Dissertation JAMES ROBERT FISHER STABILITY ANALYSIS AND CONTROL OF STOCHASTIC DYNAMIC SYSTEMS USING POLYNOMIAL CHAOS A Dissertation by JAMES ROBERT FISHER Submitted to the Office of Graduate Studies of Texas A&M University in artial fulfillment

More information

Yixi Shi. Jose Blanchet. IEOR Department Columbia University New York, NY 10027, USA. IEOR Department Columbia University New York, NY 10027, USA

Yixi Shi. Jose Blanchet. IEOR Department Columbia University New York, NY 10027, USA. IEOR Department Columbia University New York, NY 10027, USA Proceedings of the 2011 Winter Simulation Conference S. Jain, R. R. Creasey, J. Himmelsach, K. P. White, and M. Fu, eds. EFFICIENT RARE EVENT SIMULATION FOR HEAVY-TAILED SYSTEMS VIA CROSS ENTROPY Jose

More information

On split sample and randomized confidence intervals for binomial proportions

On split sample and randomized confidence intervals for binomial proportions On slit samle and randomized confidence intervals for binomial roortions Måns Thulin Deartment of Mathematics, Usala University arxiv:1402.6536v1 [stat.me] 26 Feb 2014 Abstract Slit samle methods have

More information

EE 508 Lecture 13. Statistical Characterization of Filter Characteristics

EE 508 Lecture 13. Statistical Characterization of Filter Characteristics EE 508 Lecture 3 Statistical Characterization of Filter Characteristics Comonents used to build filters are not recisely redictable R L C Temerature Variations Manufacturing Variations Aging Model variations

More information

LINEAR SYSTEMS WITH POLYNOMIAL UNCERTAINTY STRUCTURE: STABILITY MARGINS AND CONTROL

LINEAR SYSTEMS WITH POLYNOMIAL UNCERTAINTY STRUCTURE: STABILITY MARGINS AND CONTROL LINEAR SYSTEMS WITH POLYNOMIAL UNCERTAINTY STRUCTURE: STABILITY MARGINS AND CONTROL Mohammad Bozorg Deatment of Mechanical Engineering University of Yazd P. O. Box 89195-741 Yazd Iran Fax: +98-351-750110

More information

Developing A Deterioration Probabilistic Model for Rail Wear

Developing A Deterioration Probabilistic Model for Rail Wear International Journal of Traffic and Transortation Engineering 2012, 1(2): 13-18 DOI: 10.5923/j.ijtte.20120102.02 Develoing A Deterioration Probabilistic Model for Rail Wear Jabbar-Ali Zakeri *, Shahrbanoo

More information

8 STOCHASTIC PROCESSES

8 STOCHASTIC PROCESSES 8 STOCHASTIC PROCESSES The word stochastic is derived from the Greek στoχαστικoς, meaning to aim at a target. Stochastic rocesses involve state which changes in a random way. A Markov rocess is a articular

More information

Estimation of Separable Representations in Psychophysical Experiments

Estimation of Separable Representations in Psychophysical Experiments Estimation of Searable Reresentations in Psychohysical Exeriments Michele Bernasconi (mbernasconi@eco.uninsubria.it) Christine Choirat (cchoirat@eco.uninsubria.it) Raffaello Seri (rseri@eco.uninsubria.it)

More information

The Noise Power Ratio - Theory and ADC Testing

The Noise Power Ratio - Theory and ADC Testing The Noise Power Ratio - Theory and ADC Testing FH Irons, KJ Riley, and DM Hummels Abstract This aer develos theory behind the noise ower ratio (NPR) testing of ADCs. A mid-riser formulation is used for

More information

Approximating min-max k-clustering

Approximating min-max k-clustering Aroximating min-max k-clustering Asaf Levin July 24, 2007 Abstract We consider the roblems of set artitioning into k clusters with minimum total cost and minimum of the maximum cost of a cluster. The cost

More information

A PEAK FACTOR FOR PREDICTING NON-GAUSSIAN PEAK RESULTANT RESPONSE OF WIND-EXCITED TALL BUILDINGS

A PEAK FACTOR FOR PREDICTING NON-GAUSSIAN PEAK RESULTANT RESPONSE OF WIND-EXCITED TALL BUILDINGS The Seventh Asia-Pacific Conference on Wind Engineering, November 8-1, 009, Taiei, Taiwan A PEAK FACTOR FOR PREDICTING NON-GAUSSIAN PEAK RESULTANT RESPONSE OF WIND-EXCITED TALL BUILDINGS M.F. Huang 1,

More information

Lower Confidence Bound for Process-Yield Index S pk with Autocorrelated Process Data

Lower Confidence Bound for Process-Yield Index S pk with Autocorrelated Process Data Quality Technology & Quantitative Management Vol. 1, No.,. 51-65, 15 QTQM IAQM 15 Lower onfidence Bound for Process-Yield Index with Autocorrelated Process Data Fu-Kwun Wang * and Yeneneh Tamirat Deartment

More information

Universal Finite Memory Coding of Binary Sequences

Universal Finite Memory Coding of Binary Sequences Deartment of Electrical Engineering Systems Universal Finite Memory Coding of Binary Sequences Thesis submitted towards the degree of Master of Science in Electrical and Electronic Engineering in Tel-Aviv

More information

Use of Transformations and the Repeated Statement in PROC GLM in SAS Ed Stanek

Use of Transformations and the Repeated Statement in PROC GLM in SAS Ed Stanek Use of Transformations and the Reeated Statement in PROC GLM in SAS Ed Stanek Introduction We describe how the Reeated Statement in PROC GLM in SAS transforms the data to rovide tests of hyotheses of interest.

More information

On the Relationship Between Packet Size and Router Performance for Heavy-Tailed Traffic 1

On the Relationship Between Packet Size and Router Performance for Heavy-Tailed Traffic 1 On the Relationshi Between Packet Size and Router Performance for Heavy-Tailed Traffic 1 Imad Antonios antoniosi1@southernct.edu CS Deartment MO117 Southern Connecticut State University 501 Crescent St.

More information

RUN-TO-RUN CONTROL AND PERFORMANCE MONITORING OF OVERLAY IN SEMICONDUCTOR MANUFACTURING. 3 Department of Chemical Engineering

RUN-TO-RUN CONTROL AND PERFORMANCE MONITORING OF OVERLAY IN SEMICONDUCTOR MANUFACTURING. 3 Department of Chemical Engineering Coyright 2002 IFAC 15th Triennial World Congress, Barcelona, Sain RUN-TO-RUN CONTROL AND PERFORMANCE MONITORING OF OVERLAY IN SEMICONDUCTOR MANUFACTURING C.A. Bode 1, B.S. Ko 2, and T.F. Edgar 3 1 Advanced

More information

Using the Divergence Information Criterion for the Determination of the Order of an Autoregressive Process

Using the Divergence Information Criterion for the Determination of the Order of an Autoregressive Process Using the Divergence Information Criterion for the Determination of the Order of an Autoregressive Process P. Mantalos a1, K. Mattheou b, A. Karagrigoriou b a.deartment of Statistics University of Lund

More information

Evaluation of the critical wave groups method for calculating the probability of extreme ship responses in beam seas

Evaluation of the critical wave groups method for calculating the probability of extreme ship responses in beam seas Proceedings of the 6 th International Shi Stability Worsho, 5-7 June 207, Belgrade, Serbia Evaluation of the critical wave grous method for calculating the robability of extreme shi resonses in beam seas

More information

arxiv:cond-mat/ v2 25 Sep 2002

arxiv:cond-mat/ v2 25 Sep 2002 Energy fluctuations at the multicritical oint in two-dimensional sin glasses arxiv:cond-mat/0207694 v2 25 Se 2002 1. Introduction Hidetoshi Nishimori, Cyril Falvo and Yukiyasu Ozeki Deartment of Physics,

More information

Vision Graph Construction in Wireless Multimedia Sensor Networks

Vision Graph Construction in Wireless Multimedia Sensor Networks University of Nebraska - Lincoln DigitalCommons@University of Nebraska - Lincoln CSE Conference and Worksho Paers Comuter Science and Engineering, Deartment of 21 Vision Grah Construction in Wireless Multimedia

More information

Maximum Entropy and the Stress Distribution in Soft Disk Packings Above Jamming

Maximum Entropy and the Stress Distribution in Soft Disk Packings Above Jamming Maximum Entroy and the Stress Distribution in Soft Disk Packings Above Jamming Yegang Wu and S. Teitel Deartment of Physics and Astronomy, University of ochester, ochester, New York 467, USA (Dated: August

More information

Feedback-error control

Feedback-error control Chater 4 Feedback-error control 4.1 Introduction This chater exlains the feedback-error (FBE) control scheme originally described by Kawato [, 87, 8]. FBE is a widely used neural network based controller

More information

Design Constraint for Fine Grain Supply Voltage Control LSI

Design Constraint for Fine Grain Supply Voltage Control LSI ASP-DAC 211 Designer s Forum Session 8D-3: State-of-The-Art SoCs and Design Methodologies Design Constraint for Fine Grain Suly Voltage Control LSI January 28, 211 Atsuki Inoue Platform Technologies Laboratories

More information

DETC2003/DAC AN EFFICIENT ALGORITHM FOR CONSTRUCTING OPTIMAL DESIGN OF COMPUTER EXPERIMENTS

DETC2003/DAC AN EFFICIENT ALGORITHM FOR CONSTRUCTING OPTIMAL DESIGN OF COMPUTER EXPERIMENTS Proceedings of DETC 03 ASME 003 Design Engineering Technical Conferences and Comuters and Information in Engineering Conference Chicago, Illinois USA, Setember -6, 003 DETC003/DAC-48760 AN EFFICIENT ALGORITHM

More information

Asymptotically Optimal Simulation Allocation under Dependent Sampling

Asymptotically Optimal Simulation Allocation under Dependent Sampling Asymtotically Otimal Simulation Allocation under Deendent Samling Xiaoing Xiong The Robert H. Smith School of Business, University of Maryland, College Park, MD 20742-1815, USA, xiaoingx@yahoo.com Sandee

More information

Effective conductivity in a lattice model for binary disordered media with complex distributions of grain sizes

Effective conductivity in a lattice model for binary disordered media with complex distributions of grain sizes hys. stat. sol. b 36, 65-633 003 Effective conductivity in a lattice model for binary disordered media with comlex distributions of grain sizes R. PIASECKI Institute of Chemistry, University of Oole, Oleska

More information

Estimation of component redundancy in optimal age maintenance

Estimation of component redundancy in optimal age maintenance EURO MAINTENANCE 2012, Belgrade 14-16 May 2012 Proceedings of the 21 st International Congress on Maintenance and Asset Management Estimation of comonent redundancy in otimal age maintenance Jorge ioa

More information

Uncorrelated Multilinear Principal Component Analysis for Unsupervised Multilinear Subspace Learning

Uncorrelated Multilinear Principal Component Analysis for Unsupervised Multilinear Subspace Learning TNN-2009-P-1186.R2 1 Uncorrelated Multilinear Princial Comonent Analysis for Unsuervised Multilinear Subsace Learning Haiing Lu, K. N. Plataniotis and A. N. Venetsanooulos The Edward S. Rogers Sr. Deartment

More information

Understanding and Using Availability

Understanding and Using Availability Understanding and Using Availability Jorge Luis Romeu, Ph.D. ASQ CQE/CRE, & Senior Member Email: romeu@cortland.edu htt://myrofile.cos.com/romeu ASQ/RD Webinar Series Noviembre 5, J. L. Romeu - Consultant

More information

Outline. Markov Chains and Markov Models. Outline. Markov Chains. Markov Chains Definitions Huizhen Yu

Outline. Markov Chains and Markov Models. Outline. Markov Chains. Markov Chains Definitions Huizhen Yu and Markov Models Huizhen Yu janey.yu@cs.helsinki.fi Det. Comuter Science, Univ. of Helsinki Some Proerties of Probabilistic Models, Sring, 200 Huizhen Yu (U.H.) and Markov Models Jan. 2 / 32 Huizhen Yu

More information

A PROBABILISTIC POWER ESTIMATION METHOD FOR COMBINATIONAL CIRCUITS UNDER REAL GATE DELAY MODEL

A PROBABILISTIC POWER ESTIMATION METHOD FOR COMBINATIONAL CIRCUITS UNDER REAL GATE DELAY MODEL A PROBABILISTIC POWER ESTIMATION METHOD FOR COMBINATIONAL CIRCUITS UNDER REAL GATE DELAY MODEL G. Theodoridis, S. Theoharis, D. Soudris*, C. Goutis VLSI Design Lab, Det. of Electrical and Comuter Eng.

More information

97.398*, Physical Electronics, Lecture 8. Diode Operation

97.398*, Physical Electronics, Lecture 8. Diode Operation 97.398*, Physical Electronics, Lecture 8 Diode Oeration Lecture Outline Have looked at basic diode rocessing and structures Goal is now to understand and model the behavior of the device under bias First

More information

The non-stochastic multi-armed bandit problem

The non-stochastic multi-armed bandit problem Submitted for journal ublication. The non-stochastic multi-armed bandit roblem Peter Auer Institute for Theoretical Comuter Science Graz University of Technology A-8010 Graz (Austria) auer@igi.tu-graz.ac.at

More information

Chapter 1: PROBABILITY BASICS

Chapter 1: PROBABILITY BASICS Charles Boncelet, obability, Statistics, and Random Signals," Oxford University ess, 0. ISBN: 978-0-9-0005-0 Chater : PROBABILITY BASICS Sections. What Is obability?. Exeriments, Outcomes, and Events.

More information

MODEL-BASED MULTIPLE FAULT DETECTION AND ISOLATION FOR NONLINEAR SYSTEMS

MODEL-BASED MULTIPLE FAULT DETECTION AND ISOLATION FOR NONLINEAR SYSTEMS MODEL-BASED MULIPLE FAUL DEECION AND ISOLAION FOR NONLINEAR SYSEMS Ivan Castillo, and homas F. Edgar he University of exas at Austin Austin, X 78712 David Hill Chemstations Houston, X 77009 Abstract A

More information

CSE 599d - Quantum Computing When Quantum Computers Fall Apart

CSE 599d - Quantum Computing When Quantum Computers Fall Apart CSE 599d - Quantum Comuting When Quantum Comuters Fall Aart Dave Bacon Deartment of Comuter Science & Engineering, University of Washington In this lecture we are going to begin discussing what haens to

More information

CMSC 425: Lecture 4 Geometry and Geometric Programming

CMSC 425: Lecture 4 Geometry and Geometric Programming CMSC 425: Lecture 4 Geometry and Geometric Programming Geometry for Game Programming and Grahics: For the next few lectures, we will discuss some of the basic elements of geometry. There are many areas

More information

Algorithms for Air Traffic Flow Management under Stochastic Environments

Algorithms for Air Traffic Flow Management under Stochastic Environments Algorithms for Air Traffic Flow Management under Stochastic Environments Arnab Nilim and Laurent El Ghaoui Abstract A major ortion of the delay in the Air Traffic Management Systems (ATMS) in US arises

More information

Evaluating Process Capability Indices for some Quality Characteristics of a Manufacturing Process

Evaluating Process Capability Indices for some Quality Characteristics of a Manufacturing Process Journal of Statistical and Econometric Methods, vol., no.3, 013, 105-114 ISSN: 051-5057 (rint version), 051-5065(online) Scienress Ltd, 013 Evaluating Process aability Indices for some Quality haracteristics

More information

A Network-Flow Based Cell Sizing Algorithm

A Network-Flow Based Cell Sizing Algorithm A Networ-Flow Based Cell Sizing Algorithm Huan Ren and Shantanu Dutt Det. of ECE, University of Illinois-Chicago Abstract We roose a networ flow based algorithm for the area-constrained timing-driven discrete

More information

Joint Property Estimation for Multiple RFID Tag Sets Using Snapshots of Variable Lengths

Joint Property Estimation for Multiple RFID Tag Sets Using Snapshots of Variable Lengths Joint Proerty Estimation for Multile RFID Tag Sets Using Snashots of Variable Lengths ABSTRACT Qingjun Xiao Key Laboratory of Comuter Network and Information Integration Southeast University) Ministry

More information

Statistical Timing Analysis Based on a Timing Yield Model

Statistical Timing Analysis Based on a Timing Yield Model 2.4 Statistical Timing Analysis Based on a Timing Yield Model Farid. ajm Deartment o ECE University o Toronto Toronto, Ontario, Canada oel Menees Strategic CAD Lab. Intel Cororation Hillsboro, Oregon,

More information

A Simple And Efficient FEM-Implementation Of The Modified Mohr-Coulomb Criterion Clausen, Johan Christian; Damkilde, Lars

A Simple And Efficient FEM-Implementation Of The Modified Mohr-Coulomb Criterion Clausen, Johan Christian; Damkilde, Lars Aalborg Universitet A Simle And Efficient FEM-Imlementation Of The Modified Mohr-Coulomb Criterion Clausen, Johan Christian; Damkilde, Lars Published in: Proceedings of the 9th Nordic Seminar on Comutational

More information

Unit 1 - Computer Arithmetic

Unit 1 - Computer Arithmetic FIXD-POINT (FX) ARITHMTIC Unit 1 - Comuter Arithmetic INTGR NUMBRS n bit number: b n 1 b n 2 b 0 Decimal Value Range of values UNSIGND n 1 SIGND D = b i 2 i D = 2 n 1 b n 1 + b i 2 i n 2 i=0 i=0 [0, 2

More information

One-way ANOVA Inference for one-way ANOVA

One-way ANOVA Inference for one-way ANOVA One-way ANOVA Inference for one-way ANOVA IPS Chater 12.1 2009 W.H. Freeman and Comany Objectives (IPS Chater 12.1) Inference for one-way ANOVA Comaring means The two-samle t statistic An overview of ANOVA

More information

A Recursive Block Incomplete Factorization. Preconditioner for Adaptive Filtering Problem

A Recursive Block Incomplete Factorization. Preconditioner for Adaptive Filtering Problem Alied Mathematical Sciences, Vol. 7, 03, no. 63, 3-3 HIKARI Ltd, www.m-hiari.com A Recursive Bloc Incomlete Factorization Preconditioner for Adative Filtering Problem Shazia Javed School of Mathematical

More information

Radial Basis Function Networks: Algorithms

Radial Basis Function Networks: Algorithms Radial Basis Function Networks: Algorithms Introduction to Neural Networks : Lecture 13 John A. Bullinaria, 2004 1. The RBF Maing 2. The RBF Network Architecture 3. Comutational Power of RBF Networks 4.

More information

Aggregate Prediction With. the Aggregation Bias

Aggregate Prediction With. the Aggregation Bias 100 Aggregate Prediction With Disaggregate Models: Behavior of the Aggregation Bias Uzi Landau, Transortation Research nstitute, Technion-srael nstitute of Technology, Haifa Disaggregate travel demand

More information

Fault Tolerant Quantum Computing Robert Rogers, Thomas Sylwester, Abe Pauls

Fault Tolerant Quantum Computing Robert Rogers, Thomas Sylwester, Abe Pauls CIS 410/510, Introduction to Quantum Information Theory Due: June 8th, 2016 Sring 2016, University of Oregon Date: June 7, 2016 Fault Tolerant Quantum Comuting Robert Rogers, Thomas Sylwester, Abe Pauls

More information

Research of power plant parameter based on the Principal Component Analysis method

Research of power plant parameter based on the Principal Component Analysis method Research of ower lant arameter based on the Princial Comonent Analysis method Yang Yang *a, Di Zhang b a b School of Engineering, Bohai University, Liaoning Jinzhou, 3; Liaoning Datang international Jinzhou

More information

1-way quantum finite automata: strengths, weaknesses and generalizations

1-way quantum finite automata: strengths, weaknesses and generalizations 1-way quantum finite automata: strengths, weaknesses and generalizations arxiv:quant-h/9802062v3 30 Se 1998 Andris Ambainis UC Berkeley Abstract Rūsiņš Freivalds University of Latvia We study 1-way quantum

More information

SAS for Bayesian Mediation Analysis

SAS for Bayesian Mediation Analysis Paer 1569-2014 SAS for Bayesian Mediation Analysis Miočević Milica, Arizona State University; David P. MacKinnon, Arizona State University ABSTRACT Recent statistical mediation analysis research focuses

More information

Elements of Asymptotic Theory. James L. Powell Department of Economics University of California, Berkeley

Elements of Asymptotic Theory. James L. Powell Department of Economics University of California, Berkeley Elements of Asymtotic Theory James L. Powell Deartment of Economics University of California, Berkeley Objectives of Asymtotic Theory While exact results are available for, say, the distribution of the

More information

LOGISTIC REGRESSION. VINAYANAND KANDALA M.Sc. (Agricultural Statistics), Roll No I.A.S.R.I, Library Avenue, New Delhi

LOGISTIC REGRESSION. VINAYANAND KANDALA M.Sc. (Agricultural Statistics), Roll No I.A.S.R.I, Library Avenue, New Delhi LOGISTIC REGRESSION VINAANAND KANDALA M.Sc. (Agricultural Statistics), Roll No. 444 I.A.S.R.I, Library Avenue, New Delhi- Chairerson: Dr. Ranjana Agarwal Abstract: Logistic regression is widely used when

More information

Robustness of multiple comparisons against variance heterogeneity Dijkstra, J.B.

Robustness of multiple comparisons against variance heterogeneity Dijkstra, J.B. Robustness of multile comarisons against variance heterogeneity Dijkstra, J.B. Published: 01/01/1983 Document Version Publisher s PDF, also known as Version of Record (includes final age, issue and volume

More information

STA 250: Statistics. Notes 7. Bayesian Approach to Statistics. Book chapters: 7.2

STA 250: Statistics. Notes 7. Bayesian Approach to Statistics. Book chapters: 7.2 STA 25: Statistics Notes 7. Bayesian Aroach to Statistics Book chaters: 7.2 1 From calibrating a rocedure to quantifying uncertainty We saw that the central idea of classical testing is to rovide a rigorous

More information

Monopolist s mark-up and the elasticity of substitution

Monopolist s mark-up and the elasticity of substitution Croatian Oerational Research Review 377 CRORR 8(7), 377 39 Monoolist s mark-u and the elasticity of substitution Ilko Vrankić, Mira Kran, and Tomislav Herceg Deartment of Economic Theory, Faculty of Economics

More information