Evaluating Circuit Reliability Under Probabilistic Gate-Level Fault Models

Size: px
Start display at page:

Download "Evaluating Circuit Reliability Under Probabilistic Gate-Level Fault Models"

Transcription

1 Evaluating Circuit Reliability Under Probabilistic Gate-Level Fault Models Ketan N. Patel, Igor L. Markov and John P. Hayes University of Michigan, Ann Arbor Abstract Circuit reliability is an increasingly imortant design consideration for modern logic circuits. To this end, our work focuses on the evaluation of circuit reliability under robabilistic gate-level fault models that can cature both soft errors, e.g., radiation-related, and satially-uniform manufacturing defects. This basic task can, in rincile, be used (i) by synthesis rocedures to select more reliable circuits, and (ii) to estimate yield for electronic nanotechnologies where high defect density is exected. We roose a matrix-based formalism to comute the error robability of the whole circuit based on robabilities of secific gate errors. This formalism is surrisingly related to that of quantum circuits, but also exhibits several new features. The numerical comutation of error robabilities in large circuits runs into the same scalability roblems as the simulation of quantum circuits. Therefore, we hoe to adat recent advances in quantum circuit simulation to the context of this work. 1 Introduction Device geometries continue to shrink in dee sub-micron VLSI circuits as clock seeds increase and er-chi costs dro. Finer device features make circuits more suscetible to manufacturing defects, noise-related transient faults and interference from radiation. In articular, we distinguish (i) soft errors that occur non-deterministically and may be related to alha-articles or gamma-radiation [4], and (ii) manufacturing defects that ermanently damage circuit comonents. Both tyes manifest in the form of logic errors and may be difficult to revent in the nearfuture technologies. Therefore, fault-tolerance and errorcorrection techniques aear increasingly imortant. Previously, fault-tolerant circuits and architectures have been roosed to absorb soft errors without jeoardizing the function of comuting systems. Examles include totally self-checking circuits [5] and dynamic verification of microrocessor oerations [1]. In the latter aroach, when a fault is detected, the oeration is redone, with a serious runtime enalty. However, the checker circuit is small and does not slow down the rocessor until a fault is discovered. With dynamic verification, the main rocessor does not have to be fully verified because rare faults, not found during simulation, can be tolerated. However, dynamic verification assumes very low incidence of logic errors, which can only be guaranteed by circuit-level techniques. The existing literature on circuit test and fault-tolerant circuits tyically uses interconnect-based models, e.g., stuck-at and bridging faults. While these models enable fast and ractically useful algorithms, they cannot adequately model more subtle behavior that faulty gates may exhibit. On the other hand, some interconnect faults can be catured by gate-level fault models with the hel of fake buffers inserted at the right laces. The anticiation of high defect densities in circuit comonents is suorted by recent work on chemicallyassembled electronic nanotechnology [2]. The authors exect defect rates of 1-10% in first technology generations. A roosed solution is a rogrammable circuit fabric with test structures that would allow to discover all actual defects in each circuit manufactured. A logic circuit can then be maed onto fully-functional gates and wires.

2 With less drastic means of tolerating errors in mind, our work focuses on circuits with faulty gates. In articular, we oint out that technology-secific faults in logic gates can be modeled robabilistically: for a given inut, every outut can be observed with a certain robability. Such models cature not only soft errors, but also manufacturing defects that are equally likely to occur in any gate of a given tye. Our work shows that the robability of erroneous outut deends not only on the faultiness of gates, but also on circuit structure. Related logic otimization would require a reliable way to evaluate the error robability of a circuit. The main contribution of this work is an analytical technique for this task. This technique fundamentally differs from existing architectural [1, 4] and emirical aroaches. We also oint out a surrising connection between the evaluation of circuit error robability and numerical simulation of quantum circuits. The remaining art of this manuscrit is organized as follows. We introduce robabilistic gate-level fault models in Section 2 where we also comute error-roerties of logic circuits with one-bit outut. In Section 3 we show that multi-outut circuits can achieve better faulttolerance. Similarities of the error-robability comutation to the simulation of quantum circuits are covered in Section 4. Conclusions and on-going work are discussed in Section 5. 2 Basic Methodology Below we first introduce gate-level fault models and then exlain how error roerties of a logic circuit can be modeled in terms of those models. An examle is given. 2.1 Probabilistic Gate-Level Fault Models In error-free oeration the function of a combinational logic circuit or gate can be reresented by a truth table, which is a deterministic maing of inut values to outut values. For examle, the truth table for an AND gate mas the inut value 01 to the outut value 0. However, in the resence of soft errors or manufacturing defects this inut may occasionally lead to a 1 at the outut of the gate. If we know how often this is likely haen, we can model this behavior using a robabilistic transfer matrix. Inthis matrix, column indices reresent inut values, and row in- Inuts Outut 0 1 (a) Outut 0 1 Inut 0 1 (b) Inuts Outut 0 1 Figure 1: Probabilistic transfer matrices used for noisy logic gates: (a) AND, (b) NOT, and (c) OR. The variable denotes the robability the gate will give an incorrect outut. Note matrices a and c are rotated. dices reresent outut values, while matrix elements cature air-wise transition robabilities. For examle, consider the ossible robabilistic transfer matrices shown in Figure 1 for the the standard AND, NOT and OR gates. In these examles the gates give the incorrect outut value with robability. In general, we could use any fixed robability distribution for the columns of the matrices. For examle, if we knew that the AND gate was twice as likely to give the incorrect outut for inuts 01 and 10 versus for inuts 00 and 11, we could reflect this is the matrix: [ The only requirement is that each column sums to 1, since we assume that some value is always seen at the outut. The number of rows and columns are exonential in the number of gate inuts and oututs resectively. ]. 2.2 Derivation of Circuit Proerties The concet of the robabilistic transfer matrix is not restricted to gates. It can also reresent the function of a noisy circuit. The element in the i-th row and j-th column reresents the robability that the i-th outut value will be observed when the j-th inut value is given to the circuit. Now for convenience we also define the ideal transfer matrix. This is the robabilistic transfer matrix when there are no errors. Each column of this matrix contains (c)

3 A A B A B B BA A B B A (a) (b) (c) Figure 2: Basic ways to interconnect circuit comonents and the corresonding oeration on the robabilistic transfer matrices. (a) serial (b) arallel (c) fanout exactly one 1 with the remaining elements being 0. Now if we know the robability distribution of inut values that will be given to the circuit, that is, if we know that the i-th inut value will be given to the circuit with robability i, then we can calculate the error robability of the circuit from the ideal and robabilistic transfer matrices C and P: 2 n 1 i P [ j,i], i=0 j:c( j,i)=0 where P [i, j] denotes the element in the i-th row and j-th column of matrix P. For the noisy AND gate in Figure 1a this calculation gives a robability that the gate will give an incorrect outut. Therefore, if we can construct the robabilistic transfer matrix for a circuit, then we can calculate its error robability. Now we show that if we assume that gate errors occur indeendently and we know the robabilistic transfer matrices of the gates in the circuit, then by erforming oerations on these we can obtain the robabilistic transfer matrix of the circuit. The basic method is as follows: we construct the circuit by connecting together gates into sub-circuits then connecting together these subcircuits; each time we connect two comonents, we derive the robabilistic transfer matrix of the combined circuit from those of the comonents. There are three basic ways in which comonents in a well-formed circuit are combined: serially, in arallel, and through fanout. These are illustrated in Figure 2. We now show how each of these oerations affects the robabilistic transfer matrices. Serial Comosition is the most straightforward. This occurs when a comonent acts on the oututs of another comonent. The robabilistic transfer matrix of the result is given by the roduct of those of the comonents. For examle, consider the serially connected comonents shown in Figure 2a. Suose A and B denote the robabilistic transfer matrices of the two comonents. Let comonent A have n inuts and m oututs, and comonent B have m inuts and l oututs. Let us calculate the robability that when an inut i is given to the first comonent, we observe an outut j. This is by definition the element in the j-th row and i-th column of the overall error transfer matrix. If an inut i is given to the first comonent, the robability distribution of the oututs of the first comonent are given by the i-th column of A. The vector with the robabilities that each of the ossible inuts to the second comonent give an outut j is given by the j row of B. Therefore, the robability that the outut of the second comonent will be j, given that i is inut to the first comonent, is equal to the inner roduct of the i-th column of A and the j-th row of B. Thus, the overall robabilistic transfer matrix is given by B A. Parallel Comosition involves two or more circuit comonents acting on disjoint sets of bits. The corresonding matrix oeration is the tensor roduct of the robabilistic transfer matrices of the comonents. Consider the examle shown in Figure 2b. Suose A and B denote the robabilistic transfer matrices of the two comonents. Let comonent A have n inuts and m oututs and let comonent B have l inuts and k oututs. Consider an inut i to the overall circuit, which can be artitioned into inuts i A and i B to the resective comonents A and B. Since the two comonents are indeendent, the robability distribution of the oututs for the first comonent are given by the i A -th column of A and those of the second comonent are given by the i B -th column of B. So the robability that an outut j =(j A j B ) is observed is given by the roduct A [ ja,i A ] B [ jb,i B ], which is the element in the i-th row and j-th column of the combined robabilistic transfer matrix. Writing out the entire matrix we have A [0,0] B A [0,1] B A [0,n] B A [1,0] B A [1,1] B A [1,n] B...., A [m,0] B A [m,1] B A [m,n] B

4 a b c (a) a c b (b) Figure 3: Two circuits that comute the function f (a,b,c)=b+a c. The circuit to the right is more robust to transient gate faults than the one to the left, assuming a uniform gate failure robability. Incorrect outut robability Circuit (a) Circuit (b) which is equal to the tensor (Kronecker) roduct A B. Fanout When comonents are connected with fanout an outut of one comonent is connected to multile inuts of the following comonent. In order to reresent this tye of connection, we simly eliminate those columns in the robabilistic transfer matrix of the second comonent that corresond to different values on the coied wire. For examle, consider the circuit in Figure 2c. If comonent B has two inuts both from the same outut of comonent A, we would eliminate columns 1 = {01} and 2 = {10} from comonent B s robabilistic transfer matrix. 2.3 Circuit Examle Using the three basic oerations above, we can find the overall robabilistic transfer matrix for any well-formed combinational circuit. Now we illustrate this method for two different circuits shown in Figure 3, both comuting the function f (a,b,c)=b + a c. For convenience, we denote the robabilistic transfer matrices of the AND, NOT, and OR gates by the names of the gates and to simlify the algebra we define q = 1. First we take the circuit given in Figure 3a. At deth 1 we take the tensor of the two AND gates: [ ] [ ] qqq qqq T 1 = AND AND =. q q This yields a 4 16 matrix with column labels abbc. In order to reduce out the dulicate coy of b we eliminate the columns where the two coies are not the same, i.e., columns with labels of the form X01X and X10X. This Gate error robability () Figure 4: Probability of incorrect outut as a function of gate error robability for the two circuits in Figure 3. leaves the following transfer matrix: q 2 q 2 q 2 q q 2 q 2 q 2 T 1 = q q q q 2 q q 2 q. q q q 2 q q q 2 q q 2 2 q q 2 Finally we aly the OR and NOT gates: T 3 = NOT T 2 = NOT OR T 1 For the circuit in Figure 3b, we have T 3 = OR (AND NOT) (NOT NOT I 2 ), where I 2 is the robabilistic transfer matrix for an ideal wire, i.e., the 2 2 identity matrix. We can now calculate the error robability for each circuit given the inut value distribution. Assuming that all inut values to the circuits are equirobable, Figure 4 shows the robability of an incorrect outut for both circuits with resect to the gate error robability. The second circuit has a lower robability of giving an incorrect outut over the entire range of gate error robabilities. The basic intuition here is that long narrow circuits are

5 generally more error-rone than short wide ones. In this examle, all aths to the outut in the first circuit must go through the last two gates. Therefore, faults in either of these gates affect all ossible inuts. By contrast, in the second circuit, only the last gate is used by all aths from an inut to the outut. This examle should only be taken as an illustration of our method, not as a realistic evaluation of the relative robustness of the circuits in Figure 3. In a real alication the robability that a gate fails would robably be correlated with both the gate tye and the gate inuts. Both of these could be incororated into our method by using more accurate robabilistic transfer matrices for the gates in the circuits. 3 Better Fault-Tolerance using Multile Oututs Thus far our rimary goal has been to evaluate error roerties of different circuit structures that comute the same function. However the achievable error roerties for the circuits we have considered have been limited by the error-resistance of the gate driving the outut: if this gate gives a faulty outut so does the circuit. This is a general limitation of single-outut circuits. One way to decrease the robability of errors is to encode inut and outut using redundant wires. In this case, a logical bit (or set of bits) is reresented by a larger number of hysical bits. For examle, a simle outut encoding could ma the logical value 0 to three hysical bits all with value 0, similarly with the logical 1 value. A circuit with a single logical outut bit would actually have three hysical bits as oututs. If one of the outut gates fails, the correct logical outut is still retrievable from the remaining two correct outut bits. Of course, decoding circuitry is necessary at some oint, only delaying the inevitable single gate failure limit, however this may be ostoned to the end of the comutation where this relatively small decoding logic can be made hysically robust. This is in contrast to making each of the circuit s gates hysically more robust. The use of encoded inuts and outut fits relatively seamlessly into our methodology. For reresenting the inut encoding, we have two choices: we can either choose the inut value distribution to reflect the encoding, i.e., have robability 0 for non-code values; or we can actually eliminate the columns of the robabilistic transfer matrices reresenting non-code values. The latter is very similar to our method for reresenting fanout in Section 2.2. In general the first method is concetually simler, but may require extra unnecessary comutations. At the outut we need to reresent the maing of hysical outut bits to logical oututs; in our three bit examle above, we would ma 000, 100, 010, and 001 all to the logical outut value 0. This is easily done by modifying the ideal transfer matrix: rather than a single 1 in each column of this matrix reresenting the correct outut value, we have multile 1 s reresenting each of the ossible correct outut values. Another way to increase the error-resistance of a single outut circuit is to increase the reliability of the limiting gate, namely the gate driving the outut. This may be ossible in some imlementation and for some noise environments; for examle, two basic gate sizes may be available in some imlementations with the larger being less suscetible to radiation effects. Carrying this argument further, we could add the flexibility to choose gate sizes for any of the gates in the circuit, given erhas some limit on the area overhead. However, in general it may not be obvious which gates sizes should be increased to maximize error-resistance. Our method for evaluating the circuit s error-resistance could be very useful for this. 4 Quantum Circuits and Scalability Quantum comutations are reresented by comlex unitary matrices [6] (multilying a unitary matrix by its conjugate-transose gives the identity matrix). The square of the amlitude of each element gives the robability of a transition from one basis state to another. Such matrices cature the functions of individual quantum gates and also whole quantum circuits (note that quantum gates have as many inuts as oututs, ditto for quantum circuits). Quantum states, including circuit inut and outut, are comlex vectors. Given a unitary matrix of a quantum gate, the outut is roduced by inut using matrix-vector multilication. Because of this, sequential comosition is reresented by the regular matrix-matrix multilication and arallel comosition by the tensor (Kronecker) roduct.

6 This is similar to how we comute the robabilistic transfer matrix of a circuit from gate matrices. There are a few imortant differences between our roblem and the quantum circuit roblem. 1. Quantum circuits do not have fanouts. Thus, one of our oerations does not have a quantum analog. 2. All quantum gates have the same number of oututs as inuts, and therefore all of the matrices are square. This is usually not the case for our roblem. 3. The matrix elements in our case are real, while they may be comlex in the quantum circuit case. On the other hand, our matrices do not have to be realunitary (a.k.a. orthogonal) the only requirement is that all elements in every column add u to one. 4. Our comutation differs greatly from the oeration of quantum circuits in the last ste. Quantum measurement is alied after a quantum circuit, but we use an unrelated oeration to calculate the error robability from the robabilistic transfer matrix. The matrices in both our roblem and the quantum circuit roblem are double-exonential in size with resect to the number of inuts and oututs. This leads to obvious scalability concerns for numerical methods. However, these concerns are already being addressed in the field of simulation of quantum circuit with BDD-based techniques [3, 7] which we hoe to adat to our domain. To simulate a quantum circuit, one starts with an inut vector and comutes an outut vector. Quantum measurement the last ste, irrelevant to our work is simulated by comuting the robabilities of all ossible outcomes. The simulation of quantum circuits [3, 7] is imortant, because, among other things, it rovides a method to evaluate designs rior to imlementation. A recent roosal to deal with the scalability roblem detects and eliminates common arithmetic sub-exressions, drastically simlifying the comutation [7]. Comutationally, this aroach is based on Binary Decision Diagrams, articularly the QuIDD datastructure adated from Algebraic Decision Diagrams (ADDs). All matrices and vectors are reresented by decision diagrams and relevant oerations are erformed using DD traversals. This aroach aears alicable to our roblem as well, with the caveat that we must develo a new BDD-based oeration to model fanout. This is one of directions of on-going work. 5 Conclusions and On-going Work This work is reliminary, and we are investigating several further directions. These include more detailed analyses of the analogy with quantum circuit simulation. Through the adatation of the QuIDD Pro quantum circuit simulator [7] we hoe to significantly imrove the erformance of our basic method. We also exloring ways to simlify the calculations by using aroximations at critical stages, while still reserving the integrity of the result. We also lan incororate our circuit reliability evaluation method into synthesis algorithms, articularly faulttolerant synthesis methods acting on encoded inuts and oututs. This has the otential of significantly imroving circuit reliability. A better understanding of fault-tolerant logic may be ossible by analytical means. For examle, one somewhat obvious roerty we ve observed is that long narrow circuits tend to be more suscetible to gate faults than short wide ones. Further studies of error roerties of logic circuits, e.g., trees, may lead to new circuit otimization strategies and heuristics usable during synthesis. References [1] T. M. Austin. DIVA: A Dynamic Aroach to Microrocessor Verification, Journal of Instruction Level Parallelism, May [2] S. C. Goldstein and M. Budiu. NanoFabrics: Sarial Comuting Using Molecular Electronics, Intl. Sym. on Com. Arch., June [3] D. Greve. QDD: a quantum comuter emulation library, htt://home.lutonium.net/ dagreve/qdd.html [4] S. Kim and A. K. Somani. Soft error sensitivity characterization for microrocessor deendability enhancement strategy, Proc. Intl. Conf. on Deendable Systems and Networks, [5] P.K.Lala.Self-Checking and Fault-Tolerant Digital Design. Academic Press, Inc., [6] M.A.NielsenandI.L.Chuang.Quantum Comutation and Quantum Information. Cambridge Univ. Press, [7] G. F. Viamontes, M. Rajagoalan, I. L. Markov, and J. P. Hayes. Gate-level Simulation of Quantum Circuits, Proc. ASPDAC 2003,

Computer arithmetic. Intensive Computation. Annalisa Massini 2017/2018

Computer arithmetic. Intensive Computation. Annalisa Massini 2017/2018 Comuter arithmetic Intensive Comutation Annalisa Massini 7/8 Intensive Comutation - 7/8 References Comuter Architecture - A Quantitative Aroach Hennessy Patterson Aendix J Intensive Comutation - 7/8 3

More information

Outline. EECS150 - Digital Design Lecture 26 Error Correction Codes, Linear Feedback Shift Registers (LFSRs) Simple Error Detection Coding

Outline. EECS150 - Digital Design Lecture 26 Error Correction Codes, Linear Feedback Shift Registers (LFSRs) Simple Error Detection Coding Outline EECS150 - Digital Design Lecture 26 Error Correction Codes, Linear Feedback Shift Registers (LFSRs) Error detection using arity Hamming code for error detection/correction Linear Feedback Shift

More information

Fault Tolerant Quantum Computing Robert Rogers, Thomas Sylwester, Abe Pauls

Fault Tolerant Quantum Computing Robert Rogers, Thomas Sylwester, Abe Pauls CIS 410/510, Introduction to Quantum Information Theory Due: June 8th, 2016 Sring 2016, University of Oregon Date: June 7, 2016 Fault Tolerant Quantum Comuting Robert Rogers, Thomas Sylwester, Abe Pauls

More information

MODELING THE RELIABILITY OF C4ISR SYSTEMS HARDWARE/SOFTWARE COMPONENTS USING AN IMPROVED MARKOV MODEL

MODELING THE RELIABILITY OF C4ISR SYSTEMS HARDWARE/SOFTWARE COMPONENTS USING AN IMPROVED MARKOV MODEL Technical Sciences and Alied Mathematics MODELING THE RELIABILITY OF CISR SYSTEMS HARDWARE/SOFTWARE COMPONENTS USING AN IMPROVED MARKOV MODEL Cezar VASILESCU Regional Deartment of Defense Resources Management

More information

Characterizing the Behavior of a Probabilistic CMOS Switch Through Analytical Models and Its Verification Through Simulations

Characterizing the Behavior of a Probabilistic CMOS Switch Through Analytical Models and Its Verification Through Simulations Characterizing the Behavior of a Probabilistic CMOS Switch Through Analytical Models and Its Verification Through Simulations PINAR KORKMAZ, BILGE E. S. AKGUL and KRISHNA V. PALEM Georgia Institute of

More information

For q 0; 1; : : : ; `? 1, we have m 0; 1; : : : ; q? 1. The set fh j(x) : j 0; 1; ; : : : ; `? 1g forms a basis for the tness functions dened on the i

For q 0; 1; : : : ; `? 1, we have m 0; 1; : : : ; q? 1. The set fh j(x) : j 0; 1; ; : : : ; `? 1g forms a basis for the tness functions dened on the i Comuting with Haar Functions Sami Khuri Deartment of Mathematics and Comuter Science San Jose State University One Washington Square San Jose, CA 9519-0103, USA khuri@juiter.sjsu.edu Fax: (40)94-500 Keywords:

More information

AI*IA 2003 Fusion of Multiple Pattern Classifiers PART III

AI*IA 2003 Fusion of Multiple Pattern Classifiers PART III AI*IA 23 Fusion of Multile Pattern Classifiers PART III AI*IA 23 Tutorial on Fusion of Multile Pattern Classifiers by F. Roli 49 Methods for fusing multile classifiers Methods for fusing multile classifiers

More information

John Weatherwax. Analysis of Parallel Depth First Search Algorithms

John Weatherwax. Analysis of Parallel Depth First Search Algorithms Sulementary Discussions and Solutions to Selected Problems in: Introduction to Parallel Comuting by Viin Kumar, Ananth Grama, Anshul Guta, & George Karyis John Weatherwax Chater 8 Analysis of Parallel

More information

Radial Basis Function Networks: Algorithms

Radial Basis Function Networks: Algorithms Radial Basis Function Networks: Algorithms Introduction to Neural Networks : Lecture 13 John A. Bullinaria, 2004 1. The RBF Maing 2. The RBF Network Architecture 3. Comutational Power of RBF Networks 4.

More information

Distributed Rule-Based Inference in the Presence of Redundant Information

Distributed Rule-Based Inference in the Presence of Redundant Information istribution Statement : roved for ublic release; distribution is unlimited. istributed Rule-ased Inference in the Presence of Redundant Information June 8, 004 William J. Farrell III Lockheed Martin dvanced

More information

Shadow Computing: An Energy-Aware Fault Tolerant Computing Model

Shadow Computing: An Energy-Aware Fault Tolerant Computing Model Shadow Comuting: An Energy-Aware Fault Tolerant Comuting Model Bryan Mills, Taieb Znati, Rami Melhem Deartment of Comuter Science University of Pittsburgh (bmills, znati, melhem)@cs.itt.edu Index Terms

More information

Notes on Instrumental Variables Methods

Notes on Instrumental Variables Methods Notes on Instrumental Variables Methods Michele Pellizzari IGIER-Bocconi, IZA and frdb 1 The Instrumental Variable Estimator Instrumental variable estimation is the classical solution to the roblem of

More information

Modeling and Estimation of Full-Chip Leakage Current Considering Within-Die Correlation

Modeling and Estimation of Full-Chip Leakage Current Considering Within-Die Correlation 6.3 Modeling and Estimation of Full-Chi Leaage Current Considering Within-Die Correlation Khaled R. eloue, Navid Azizi, Farid N. Najm Deartment of ECE, University of Toronto,Toronto, Ontario, Canada {haled,nazizi,najm}@eecg.utoronto.ca

More information

Uncorrelated Multilinear Principal Component Analysis for Unsupervised Multilinear Subspace Learning

Uncorrelated Multilinear Principal Component Analysis for Unsupervised Multilinear Subspace Learning TNN-2009-P-1186.R2 1 Uncorrelated Multilinear Princial Comonent Analysis for Unsuervised Multilinear Subsace Learning Haiing Lu, K. N. Plataniotis and A. N. Venetsanooulos The Edward S. Rogers Sr. Deartment

More information

MATHEMATICAL MODELLING OF THE WIRELESS COMMUNICATION NETWORK

MATHEMATICAL MODELLING OF THE WIRELESS COMMUNICATION NETWORK Comuter Modelling and ew Technologies, 5, Vol.9, o., 3-39 Transort and Telecommunication Institute, Lomonosov, LV-9, Riga, Latvia MATHEMATICAL MODELLIG OF THE WIRELESS COMMUICATIO ETWORK M. KOPEETSK Deartment

More information

4. Score normalization technical details We now discuss the technical details of the score normalization method.

4. Score normalization technical details We now discuss the technical details of the score normalization method. SMT SCORING SYSTEM This document describes the scoring system for the Stanford Math Tournament We begin by giving an overview of the changes to scoring and a non-technical descrition of the scoring rules

More information

Combining Logistic Regression with Kriging for Mapping the Risk of Occurrence of Unexploded Ordnance (UXO)

Combining Logistic Regression with Kriging for Mapping the Risk of Occurrence of Unexploded Ordnance (UXO) Combining Logistic Regression with Kriging for Maing the Risk of Occurrence of Unexloded Ordnance (UXO) H. Saito (), P. Goovaerts (), S. A. McKenna (2) Environmental and Water Resources Engineering, Deartment

More information

Model checking, verification of CTL. One must verify or expel... doubts, and convert them into the certainty of YES [Thomas Carlyle]

Model checking, verification of CTL. One must verify or expel... doubts, and convert them into the certainty of YES [Thomas Carlyle] Chater 5 Model checking, verification of CTL One must verify or exel... doubts, and convert them into the certainty of YES or NO. [Thomas Carlyle] 5. The verification setting Page 66 We introduce linear

More information

arxiv: v1 [physics.data-an] 26 Oct 2012

arxiv: v1 [physics.data-an] 26 Oct 2012 Constraints on Yield Parameters in Extended Maximum Likelihood Fits Till Moritz Karbach a, Maximilian Schlu b a TU Dortmund, Germany, moritz.karbach@cern.ch b TU Dortmund, Germany, maximilian.schlu@cern.ch

More information

Analysis of execution time for parallel algorithm to dertmine if it is worth the effort to code and debug in parallel

Analysis of execution time for parallel algorithm to dertmine if it is worth the effort to code and debug in parallel Performance Analysis Introduction Analysis of execution time for arallel algorithm to dertmine if it is worth the effort to code and debug in arallel Understanding barriers to high erformance and redict

More information

Information collection on a graph

Information collection on a graph Information collection on a grah Ilya O. Ryzhov Warren Powell February 10, 2010 Abstract We derive a knowledge gradient olicy for an otimal learning roblem on a grah, in which we use sequential measurements

More information

CSE 599d - Quantum Computing When Quantum Computers Fall Apart

CSE 599d - Quantum Computing When Quantum Computers Fall Apart CSE 599d - Quantum Comuting When Quantum Comuters Fall Aart Dave Bacon Deartment of Comuter Science & Engineering, University of Washington In this lecture we are going to begin discussing what haens to

More information

Approximating min-max k-clustering

Approximating min-max k-clustering Aroximating min-max k-clustering Asaf Levin July 24, 2007 Abstract We consider the roblems of set artitioning into k clusters with minimum total cost and minimum of the maximum cost of a cluster. The cost

More information

ECE 534 Information Theory - Midterm 2

ECE 534 Information Theory - Midterm 2 ECE 534 Information Theory - Midterm Nov.4, 009. 3:30-4:45 in LH03. You will be given the full class time: 75 minutes. Use it wisely! Many of the roblems have short answers; try to find shortcuts. You

More information

Linear diophantine equations for discrete tomography

Linear diophantine equations for discrete tomography Journal of X-Ray Science and Technology 10 001 59 66 59 IOS Press Linear diohantine euations for discrete tomograhy Yangbo Ye a,gewang b and Jiehua Zhu a a Deartment of Mathematics, The University of Iowa,

More information

Numerical Linear Algebra

Numerical Linear Algebra Numerical Linear Algebra Numerous alications in statistics, articularly in the fitting of linear models. Notation and conventions: Elements of a matrix A are denoted by a ij, where i indexes the rows and

More information

MATH 2710: NOTES FOR ANALYSIS

MATH 2710: NOTES FOR ANALYSIS MATH 270: NOTES FOR ANALYSIS The main ideas we will learn from analysis center around the idea of a limit. Limits occurs in several settings. We will start with finite limits of sequences, then cover infinite

More information

Cryptanalysis of Pseudorandom Generators

Cryptanalysis of Pseudorandom Generators CSE 206A: Lattice Algorithms and Alications Fall 2017 Crytanalysis of Pseudorandom Generators Instructor: Daniele Micciancio UCSD CSE As a motivating alication for the study of lattice in crytograhy we

More information

Topic: Lower Bounds on Randomized Algorithms Date: September 22, 2004 Scribe: Srinath Sridhar

Topic: Lower Bounds on Randomized Algorithms Date: September 22, 2004 Scribe: Srinath Sridhar 15-859(M): Randomized Algorithms Lecturer: Anuam Guta Toic: Lower Bounds on Randomized Algorithms Date: Setember 22, 2004 Scribe: Srinath Sridhar 4.1 Introduction In this lecture, we will first consider

More information

Information collection on a graph

Information collection on a graph Information collection on a grah Ilya O. Ryzhov Warren Powell October 25, 2009 Abstract We derive a knowledge gradient olicy for an otimal learning roblem on a grah, in which we use sequential measurements

More information

Feedback-error control

Feedback-error control Chater 4 Feedback-error control 4.1 Introduction This chater exlains the feedback-error (FBE) control scheme originally described by Kawato [, 87, 8]. FBE is a widely used neural network based controller

More information

System Reliability Estimation and Confidence Regions from Subsystem and Full System Tests

System Reliability Estimation and Confidence Regions from Subsystem and Full System Tests 009 American Control Conference Hyatt Regency Riverfront, St. Louis, MO, USA June 0-, 009 FrB4. System Reliability Estimation and Confidence Regions from Subsystem and Full System Tests James C. Sall Abstract

More information

Chapter 1 Fundamentals

Chapter 1 Fundamentals Chater Fundamentals. Overview of Thermodynamics Industrial Revolution brought in large scale automation of many tedious tasks which were earlier being erformed through manual or animal labour. Inventors

More information

A Qualitative Event-based Approach to Multiple Fault Diagnosis in Continuous Systems using Structural Model Decomposition

A Qualitative Event-based Approach to Multiple Fault Diagnosis in Continuous Systems using Structural Model Decomposition A Qualitative Event-based Aroach to Multile Fault Diagnosis in Continuous Systems using Structural Model Decomosition Matthew J. Daigle a,,, Anibal Bregon b,, Xenofon Koutsoukos c, Gautam Biswas c, Belarmino

More information

A generalization of Amdahl's law and relative conditions of parallelism

A generalization of Amdahl's law and relative conditions of parallelism A generalization of Amdahl's law and relative conditions of arallelism Author: Gianluca Argentini, New Technologies and Models, Riello Grou, Legnago (VR), Italy. E-mail: gianluca.argentini@riellogrou.com

More information

Chapter 1: PROBABILITY BASICS

Chapter 1: PROBABILITY BASICS Charles Boncelet, obability, Statistics, and Random Signals," Oxford University ess, 0. ISBN: 978-0-9-0005-0 Chater : PROBABILITY BASICS Sections. What Is obability?. Exeriments, Outcomes, and Events.

More information

Section 0.10: Complex Numbers from Precalculus Prerequisites a.k.a. Chapter 0 by Carl Stitz, PhD, and Jeff Zeager, PhD, is available under a Creative

Section 0.10: Complex Numbers from Precalculus Prerequisites a.k.a. Chapter 0 by Carl Stitz, PhD, and Jeff Zeager, PhD, is available under a Creative Section 0.0: Comlex Numbers from Precalculus Prerequisites a.k.a. Chater 0 by Carl Stitz, PhD, and Jeff Zeager, PhD, is available under a Creative Commons Attribution-NonCommercial-ShareAlike.0 license.

More information

Parallelism and Locality in Priority Queues. A. Ranade S. Cheng E. Deprit J. Jones S. Shih. University of California. Berkeley, CA 94720

Parallelism and Locality in Priority Queues. A. Ranade S. Cheng E. Deprit J. Jones S. Shih. University of California. Berkeley, CA 94720 Parallelism and Locality in Priority Queues A. Ranade S. Cheng E. Derit J. Jones S. Shih Comuter Science Division University of California Berkeley, CA 94720 Abstract We exlore two ways of incororating

More information

Convolutional Codes. Lecture 13. Figure 93: Encoder for rate 1/2 constraint length 3 convolutional code.

Convolutional Codes. Lecture 13. Figure 93: Encoder for rate 1/2 constraint length 3 convolutional code. Convolutional Codes Goals Lecture Be able to encode using a convolutional code Be able to decode a convolutional code received over a binary symmetric channel or an additive white Gaussian channel Convolutional

More information

CHAPTER-II Control Charts for Fraction Nonconforming using m-of-m Runs Rules

CHAPTER-II Control Charts for Fraction Nonconforming using m-of-m Runs Rules CHAPTER-II Control Charts for Fraction Nonconforming using m-of-m Runs Rules. Introduction: The is widely used in industry to monitor the number of fraction nonconforming units. A nonconforming unit is

More information

1-way quantum finite automata: strengths, weaknesses and generalizations

1-way quantum finite automata: strengths, weaknesses and generalizations 1-way quantum finite automata: strengths, weaknesses and generalizations arxiv:quant-h/9802062v3 30 Se 1998 Andris Ambainis UC Berkeley Abstract Rūsiņš Freivalds University of Latvia We study 1-way quantum

More information

Principal Components Analysis and Unsupervised Hebbian Learning

Principal Components Analysis and Unsupervised Hebbian Learning Princial Comonents Analysis and Unsuervised Hebbian Learning Robert Jacobs Deartment of Brain & Cognitive Sciences University of Rochester Rochester, NY 1467, USA August 8, 008 Reference: Much of the material

More information

D.1 Deutsch-Jozsa algorithm

D.1 Deutsch-Jozsa algorithm 4 CHAPTER III. QUANTUM COMPUTATION Figure III.: Quantum circuit for Deutsch algorithm. [fig. from Nielsen & Chuang (00)] D Quantum algorithms D. Deutsch-Jozsa algorithm D..a Deutsch s algorithm In this

More information

The Graph Accessibility Problem and the Universality of the Collision CRCW Conflict Resolution Rule

The Graph Accessibility Problem and the Universality of the Collision CRCW Conflict Resolution Rule The Grah Accessibility Problem and the Universality of the Collision CRCW Conflict Resolution Rule STEFAN D. BRUDA Deartment of Comuter Science Bisho s University Lennoxville, Quebec J1M 1Z7 CANADA bruda@cs.ubishos.ca

More information

Paper C Exact Volume Balance Versus Exact Mass Balance in Compositional Reservoir Simulation

Paper C Exact Volume Balance Versus Exact Mass Balance in Compositional Reservoir Simulation Paer C Exact Volume Balance Versus Exact Mass Balance in Comositional Reservoir Simulation Submitted to Comutational Geosciences, December 2005. Exact Volume Balance Versus Exact Mass Balance in Comositional

More information

PARALLEL MATRIX MULTIPLICATION: A SYSTEMATIC JOURNEY

PARALLEL MATRIX MULTIPLICATION: A SYSTEMATIC JOURNEY PARALLEL MATRIX MULTIPLICATION: A SYSTEMATIC JOURNEY MARTIN D SCHATZ, ROBERT A VAN DE GEIJN, AND JACK POULSON Abstract We exose a systematic aroach for develoing distributed memory arallel matrix matrix

More information

Multiple Resonance Networks

Multiple Resonance Networks 4 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: FUNDAMENTAL THEORY AND APPLICATIONS, VOL 49, NO, FEBRUARY [4] Y-Y Cao, Y-X Sun, and J Lam, Delay-deendent robust H control for uncertain systems with time-varying

More information

Principles of Computed Tomography (CT)

Principles of Computed Tomography (CT) Page 298 Princiles of Comuted Tomograhy (CT) The theoretical foundation of CT dates back to Johann Radon, a mathematician from Vienna who derived a method in 1907 for rojecting a 2-D object along arallel

More information

Elliptic Curves and Cryptography

Elliptic Curves and Cryptography Ellitic Curves and Crytograhy Background in Ellitic Curves We'll now turn to the fascinating theory of ellitic curves. For simlicity, we'll restrict our discussion to ellitic curves over Z, where is a

More information

VLSI Design Issues. ECE 410, Prof. F. Salem/Prof. A. Mason notes update

VLSI Design Issues. ECE 410, Prof. F. Salem/Prof. A. Mason notes update VLSI Design Issues Scaling/Moore s Law has limits due to the hysics of material. Now L (L=20nm??) affects tx delays (seed), noise, heat (ower consumtion) Scaling increases density of txs and requires more

More information

Estimation of component redundancy in optimal age maintenance

Estimation of component redundancy in optimal age maintenance EURO MAINTENANCE 2012, Belgrade 14-16 May 2012 Proceedings of the 21 st International Congress on Maintenance and Asset Management Estimation of comonent redundancy in otimal age maintenance Jorge ioa

More information

End-to-End Delay Minimization in Thermally Constrained Distributed Systems

End-to-End Delay Minimization in Thermally Constrained Distributed Systems End-to-End Delay Minimization in Thermally Constrained Distributed Systems Pratyush Kumar, Lothar Thiele Comuter Engineering and Networks Laboratory (TIK) ETH Zürich, Switzerland {ratyush.kumar, lothar.thiele}@tik.ee.ethz.ch

More information

Understanding and Using Availability

Understanding and Using Availability Understanding and Using Availability Jorge Luis Romeu, Ph.D. ASQ CQE/CRE, & Senior Member Email: romeu@cortland.edu htt://myrofile.cos.com/romeu ASQ/RD Webinar Series Noviembre 5, J. L. Romeu - Consultant

More information

Universal Finite Memory Coding of Binary Sequences

Universal Finite Memory Coding of Binary Sequences Deartment of Electrical Engineering Systems Universal Finite Memory Coding of Binary Sequences Thesis submitted towards the degree of Master of Science in Electrical and Electronic Engineering in Tel-Aviv

More information

Proof Nets and Boolean Circuits

Proof Nets and Boolean Circuits Proof Nets and Boolean Circuits Kazushige Terui terui@nii.ac.j National Institute of Informatics, Tokyo 14/07/04, Turku.1/44 Motivation (1) Proofs-as-Programs (Curry-Howard) corresondence: Proofs = Programs

More information

An Ant Colony Optimization Approach to the Probabilistic Traveling Salesman Problem

An Ant Colony Optimization Approach to the Probabilistic Traveling Salesman Problem An Ant Colony Otimization Aroach to the Probabilistic Traveling Salesman Problem Leonora Bianchi 1, Luca Maria Gambardella 1, and Marco Dorigo 2 1 IDSIA, Strada Cantonale Galleria 2, CH-6928 Manno, Switzerland

More information

Recent Developments in Multilayer Perceptron Neural Networks

Recent Developments in Multilayer Perceptron Neural Networks Recent Develoments in Multilayer Percetron eural etworks Walter H. Delashmit Lockheed Martin Missiles and Fire Control Dallas, Texas 75265 walter.delashmit@lmco.com walter.delashmit@verizon.net Michael

More information

Bayesian Model Averaging Kriging Jize Zhang and Alexandros Taflanidis

Bayesian Model Averaging Kriging Jize Zhang and Alexandros Taflanidis HIPAD LAB: HIGH PERFORMANCE SYSTEMS LABORATORY DEPARTMENT OF CIVIL AND ENVIRONMENTAL ENGINEERING AND EARTH SCIENCES Bayesian Model Averaging Kriging Jize Zhang and Alexandros Taflanidis Why use metamodeling

More information

Adaptive estimation with change detection for streaming data

Adaptive estimation with change detection for streaming data Adative estimation with change detection for streaming data A thesis resented for the degree of Doctor of Philosohy of the University of London and the Diloma of Imerial College by Dean Adam Bodenham Deartment

More information

dn i where we have used the Gibbs equation for the Gibbs energy and the definition of chemical potential

dn i where we have used the Gibbs equation for the Gibbs energy and the definition of chemical potential Chem 467 Sulement to Lectures 33 Phase Equilibrium Chemical Potential Revisited We introduced the chemical otential as the conjugate variable to amount. Briefly reviewing, the total Gibbs energy of a system

More information

Diverse Routing in Networks with Probabilistic Failures

Diverse Routing in Networks with Probabilistic Failures Diverse Routing in Networks with Probabilistic Failures Hyang-Won Lee, Member, IEEE, Eytan Modiano, Senior Member, IEEE, Kayi Lee, Member, IEEE Abstract We develo diverse routing schemes for dealing with

More information

A PROBABILISTIC POWER ESTIMATION METHOD FOR COMBINATIONAL CIRCUITS UNDER REAL GATE DELAY MODEL

A PROBABILISTIC POWER ESTIMATION METHOD FOR COMBINATIONAL CIRCUITS UNDER REAL GATE DELAY MODEL A PROBABILISTIC POWER ESTIMATION METHOD FOR COMBINATIONAL CIRCUITS UNDER REAL GATE DELAY MODEL G. Theodoridis, S. Theoharis, D. Soudris*, C. Goutis VLSI Design Lab, Det. of Electrical and Comuter Eng.

More information

An Investigation on the Numerical Ill-conditioning of Hybrid State Estimators

An Investigation on the Numerical Ill-conditioning of Hybrid State Estimators An Investigation on the Numerical Ill-conditioning of Hybrid State Estimators S. K. Mallik, Student Member, IEEE, S. Chakrabarti, Senior Member, IEEE, S. N. Singh, Senior Member, IEEE Deartment of Electrical

More information

Understanding and Using Availability

Understanding and Using Availability Understanding and Using Availability Jorge Luis Romeu, Ph.D. ASQ CQE/CRE, & Senior Member C. Stat Fellow, Royal Statistical Society Past Director, Region II (NY & PA) Director: Juarez Lincoln Marti Int

More information

PARALLEL MATRIX MULTIPLICATION: A SYSTEMATIC JOURNEY

PARALLEL MATRIX MULTIPLICATION: A SYSTEMATIC JOURNEY PARALLEL MATRIX MULTIPLICATION: A SYSTEMATIC JOURNEY MARTIN D SCHATZ, ROBERT A VAN DE GEIJN, AND JACK POULSON Abstract We exose a systematic aroach for develoing distributed memory arallel matrixmatrix

More information

Introduction to MVC. least common denominator of all non-identical-zero minors of all order of G(s). Example: The minor of order 2: 1 2 ( s 1)

Introduction to MVC. least common denominator of all non-identical-zero minors of all order of G(s). Example: The minor of order 2: 1 2 ( s 1) Introduction to MVC Definition---Proerness and strictly roerness A system G(s) is roer if all its elements { gij ( s)} are roer, and strictly roer if all its elements are strictly roer. Definition---Causal

More information

Parallel Quantum-inspired Genetic Algorithm for Combinatorial Optimization Problem

Parallel Quantum-inspired Genetic Algorithm for Combinatorial Optimization Problem Parallel Quantum-insired Genetic Algorithm for Combinatorial Otimization Problem Kuk-Hyun Han Kui-Hong Park Chi-Ho Lee Jong-Hwan Kim Det. of Electrical Engineering and Comuter Science, Korea Advanced Institute

More information

Multilayer Perceptron Neural Network (MLPs) For Analyzing the Properties of Jordan Oil Shale

Multilayer Perceptron Neural Network (MLPs) For Analyzing the Properties of Jordan Oil Shale World Alied Sciences Journal 5 (5): 546-552, 2008 ISSN 1818-4952 IDOSI Publications, 2008 Multilayer Percetron Neural Network (MLPs) For Analyzing the Proerties of Jordan Oil Shale 1 Jamal M. Nazzal, 2

More information

A New GP-evolved Formulation for the Relative Permittivity of Water and Steam

A New GP-evolved Formulation for the Relative Permittivity of Water and Steam ew GP-evolved Formulation for the Relative Permittivity of Water and Steam S. V. Fogelson and W. D. Potter rtificial Intelligence Center he University of Georgia, US Contact Email ddress: sergeyf1@uga.edu

More information

CMSC 425: Lecture 4 Geometry and Geometric Programming

CMSC 425: Lecture 4 Geometry and Geometric Programming CMSC 425: Lecture 4 Geometry and Geometric Programming Geometry for Game Programming and Grahics: For the next few lectures, we will discuss some of the basic elements of geometry. There are many areas

More information

START Selected Topics in Assurance

START Selected Topics in Assurance START Selected Toics in Assurance Related Technologies Table of Contents Introduction Statistical Models for Simle Systems (U/Down) and Interretation Markov Models for Simle Systems (U/Down) and Interretation

More information

TRACES OF SCHUR AND KRONECKER PRODUCTS FOR BLOCK MATRICES

TRACES OF SCHUR AND KRONECKER PRODUCTS FOR BLOCK MATRICES Khayyam J. Math. DOI:10.22034/kjm.2019.84207 TRACES OF SCHUR AND KRONECKER PRODUCTS FOR BLOCK MATRICES ISMAEL GARCÍA-BAYONA Communicated by A.M. Peralta Abstract. In this aer, we define two new Schur and

More information

Yixi Shi. Jose Blanchet. IEOR Department Columbia University New York, NY 10027, USA. IEOR Department Columbia University New York, NY 10027, USA

Yixi Shi. Jose Blanchet. IEOR Department Columbia University New York, NY 10027, USA. IEOR Department Columbia University New York, NY 10027, USA Proceedings of the 2011 Winter Simulation Conference S. Jain, R. R. Creasey, J. Himmelsach, K. P. White, and M. Fu, eds. EFFICIENT RARE EVENT SIMULATION FOR HEAVY-TAILED SYSTEMS VIA CROSS ENTROPY Jose

More information

Unit 1 - Computer Arithmetic

Unit 1 - Computer Arithmetic FIXD-POINT (FX) ARITHMTIC Unit 1 - Comuter Arithmetic INTGR NUMBRS n bit number: b n 1 b n 2 b 0 Decimal Value Range of values UNSIGND n 1 SIGND D = b i 2 i D = 2 n 1 b n 1 + b i 2 i n 2 i=0 i=0 [0, 2

More information

State Estimation with ARMarkov Models

State Estimation with ARMarkov Models Deartment of Mechanical and Aerosace Engineering Technical Reort No. 3046, October 1998. Princeton University, Princeton, NJ. State Estimation with ARMarkov Models Ryoung K. Lim 1 Columbia University,

More information

Convex Optimization methods for Computing Channel Capacity

Convex Optimization methods for Computing Channel Capacity Convex Otimization methods for Comuting Channel Caacity Abhishek Sinha Laboratory for Information and Decision Systems (LIDS), MIT sinhaa@mit.edu May 15, 2014 We consider a classical comutational roblem

More information

RANDOM WALKS AND PERCOLATION: AN ANALYSIS OF CURRENT RESEARCH ON MODELING NATURAL PROCESSES

RANDOM WALKS AND PERCOLATION: AN ANALYSIS OF CURRENT RESEARCH ON MODELING NATURAL PROCESSES RANDOM WALKS AND PERCOLATION: AN ANALYSIS OF CURRENT RESEARCH ON MODELING NATURAL PROCESSES AARON ZWIEBACH Abstract. In this aer we will analyze research that has been recently done in the field of discrete

More information

A Parallel Algorithm for Minimization of Finite Automata

A Parallel Algorithm for Minimization of Finite Automata A Parallel Algorithm for Minimization of Finite Automata B. Ravikumar X. Xiong Deartment of Comuter Science University of Rhode Island Kingston, RI 02881 E-mail: fravi,xiongg@cs.uri.edu Abstract In this

More information

Pretest (Optional) Use as an additional pacing tool to guide instruction. August 21

Pretest (Optional) Use as an additional pacing tool to guide instruction. August 21 Trimester 1 Pretest (Otional) Use as an additional acing tool to guide instruction. August 21 Beyond the Basic Facts In Trimester 1, Grade 8 focus on multilication. Daily Unit 1: Rational vs. Irrational

More information

Synthesis of Moore FSM with Expanded Coding Space

Synthesis of Moore FSM with Expanded Coding Space Volume 3 Issue, January 24 Synthesis of oore FS with Exanded Coding Sace Olena Hebda, Larysa Titarenko Institute of Comuter Engineering and Electronics University of Zielona Góra Zielona Góra, Poland Email:

More information

Hidden Predictors: A Factor Analysis Primer

Hidden Predictors: A Factor Analysis Primer Hidden Predictors: A Factor Analysis Primer Ryan C Sanchez Western Washington University Factor Analysis is a owerful statistical method in the modern research sychologist s toolbag When used roerly, factor

More information

On Line Parameter Estimation of Electric Systems using the Bacterial Foraging Algorithm

On Line Parameter Estimation of Electric Systems using the Bacterial Foraging Algorithm On Line Parameter Estimation of Electric Systems using the Bacterial Foraging Algorithm Gabriel Noriega, José Restreo, Víctor Guzmán, Maribel Giménez and José Aller Universidad Simón Bolívar Valle de Sartenejas,

More information

An Analysis of Reliable Classifiers through ROC Isometrics

An Analysis of Reliable Classifiers through ROC Isometrics An Analysis of Reliable Classifiers through ROC Isometrics Stijn Vanderlooy s.vanderlooy@cs.unimaas.nl Ida G. Srinkhuizen-Kuyer kuyer@cs.unimaas.nl Evgueni N. Smirnov smirnov@cs.unimaas.nl MICC-IKAT, Universiteit

More information

An Introduction to Quantum Computing for Non-Physicists

An Introduction to Quantum Computing for Non-Physicists An Introduction to Quantum Comuting for Non-hysicists Eleanor Rieffel F alo Alto Labratory and Wolfgang olak Consultant F alo Alto Laboratory, 3400 Hillview Avenue, alo Alto, CA 94304 Richard Feynman s

More information

Period-two cycles in a feedforward layered neural network model with symmetric sequence processing

Period-two cycles in a feedforward layered neural network model with symmetric sequence processing PHYSICAL REVIEW E 75, 4197 27 Period-two cycles in a feedforward layered neural network model with symmetric sequence rocessing F. L. Metz and W. K. Theumann Instituto de Física, Universidade Federal do

More information

MODEL-BASED MULTIPLE FAULT DETECTION AND ISOLATION FOR NONLINEAR SYSTEMS

MODEL-BASED MULTIPLE FAULT DETECTION AND ISOLATION FOR NONLINEAR SYSTEMS MODEL-BASED MULIPLE FAUL DEECION AND ISOLAION FOR NONLINEAR SYSEMS Ivan Castillo, and homas F. Edgar he University of exas at Austin Austin, X 78712 David Hill Chemstations Houston, X 77009 Abstract A

More information

Sets of Real Numbers

Sets of Real Numbers Chater 4 Sets of Real Numbers 4. The Integers Z and their Proerties In our revious discussions about sets and functions the set of integers Z served as a key examle. Its ubiquitousness comes from the fact

More information

Yang Y * and Jung I U.S. NRC Abstract

Yang Y * and Jung I U.S. NRC  Abstract International Journal of afety cience Vol. 01, No. 01, 2017,. 12-19 OI:10.24900/01011219.2017.0301 oolean lgebra lication in imlifying ault Tree nalysis Yang Y * and Jung I U.. NR yaguang.yang@nrc.gov,

More information

Monopolist s mark-up and the elasticity of substitution

Monopolist s mark-up and the elasticity of substitution Croatian Oerational Research Review 377 CRORR 8(7), 377 39 Monoolist s mark-u and the elasticity of substitution Ilko Vrankić, Mira Kran, and Tomislav Herceg Deartment of Economic Theory, Faculty of Economics

More information

Characteristics of Beam-Based Flexure Modules

Characteristics of Beam-Based Flexure Modules Shorya Awtar e-mail: shorya@mit.edu Alexander H. Slocum e-mail: slocum@mit.edu Precision Engineering Research Grou, Massachusetts Institute of Technology, Cambridge, MA 039 Edi Sevincer Omega Advanced

More information

How to Estimate Expected Shortfall When Probabilities Are Known with Interval or Fuzzy Uncertainty

How to Estimate Expected Shortfall When Probabilities Are Known with Interval or Fuzzy Uncertainty How to Estimate Exected Shortfall When Probabilities Are Known with Interval or Fuzzy Uncertainty Christian Servin Information Technology Deartment El Paso Community College El Paso, TX 7995, USA cservin@gmail.com

More information

UNCERTAINLY MEASUREMENT

UNCERTAINLY MEASUREMENT UNCERTAINLY MEASUREMENT Jan Čaek, Martin Ibl Institute of System Engineering and Informatics, University of Pardubice, Pardubice, Czech Reublic caek@uce.cz, martin.ibl@uce.cz In recent years, a series

More information

Named Entity Recognition using Maximum Entropy Model SEEM5680

Named Entity Recognition using Maximum Entropy Model SEEM5680 Named Entity Recognition using Maximum Entroy Model SEEM5680 Named Entity Recognition System Named Entity Recognition (NER): Identifying certain hrases/word sequences in a free text. Generally it involves

More information

SAS for Bayesian Mediation Analysis

SAS for Bayesian Mediation Analysis Paer 1569-2014 SAS for Bayesian Mediation Analysis Miočević Milica, Arizona State University; David P. MacKinnon, Arizona State University ABSTRACT Recent statistical mediation analysis research focuses

More information

1 1 c (a) 1 (b) 1 Figure 1: (a) First ath followed by salesman in the stris method. (b) Alternative ath. 4. D = distance travelled closing the loo. Th

1 1 c (a) 1 (b) 1 Figure 1: (a) First ath followed by salesman in the stris method. (b) Alternative ath. 4. D = distance travelled closing the loo. Th 18.415/6.854 Advanced Algorithms ovember 7, 1996 Euclidean TSP (art I) Lecturer: Michel X. Goemans MIT These notes are based on scribe notes by Marios Paaefthymiou and Mike Klugerman. 1 Euclidean TSP Consider

More information

A Bound on the Error of Cross Validation Using the Approximation and Estimation Rates, with Consequences for the Training-Test Split

A Bound on the Error of Cross Validation Using the Approximation and Estimation Rates, with Consequences for the Training-Test Split A Bound on the Error of Cross Validation Using the Aroximation and Estimation Rates, with Consequences for the Training-Test Slit Michael Kearns AT&T Bell Laboratories Murray Hill, NJ 7974 mkearns@research.att.com

More information

On Code Design for Simultaneous Energy and Information Transfer

On Code Design for Simultaneous Energy and Information Transfer On Code Design for Simultaneous Energy and Information Transfer Anshoo Tandon Electrical and Comuter Engineering National University of Singaore Email: anshoo@nus.edu.sg Mehul Motani Electrical and Comuter

More information

Probability Estimates for Multi-class Classification by Pairwise Coupling

Probability Estimates for Multi-class Classification by Pairwise Coupling Probability Estimates for Multi-class Classification by Pairwise Couling Ting-Fan Wu Chih-Jen Lin Deartment of Comuter Science National Taiwan University Taiei 06, Taiwan Ruby C. Weng Deartment of Statistics

More information

Spectral Analysis by Stationary Time Series Modeling

Spectral Analysis by Stationary Time Series Modeling Chater 6 Sectral Analysis by Stationary Time Series Modeling Choosing a arametric model among all the existing models is by itself a difficult roblem. Generally, this is a riori information about the signal

More information

Construction of High-Girth QC-LDPC Codes

Construction of High-Girth QC-LDPC Codes MITSUBISHI ELECTRIC RESEARCH LABORATORIES htt://wwwmerlcom Construction of High-Girth QC-LDPC Codes Yige Wang, Jonathan Yedidia, Stark Draer TR2008-061 Setember 2008 Abstract We describe a hill-climbing

More information