Capacitors in an AC circuit

Size: px
Start display at page:

Download "Capacitors in an AC circuit"

Transcription

1 Capacitors in an AC circuit

2 Copyright (c) Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published by the Free Software Foundation; with no Invariant Sections, no Front-Cover Texts, and no Back-Cover Texts. A copy of the license is included in the section entitled "GNU Free Documentation License". Please send corrections (or suggestions) to youngwlim@hotmail.com. This document was produced by using OpenOffice and Octave.

3 Invertible Functions + ic v c (t ) d dt v c (t ) dt ic (t ) vc ic (t ), v c (0) 3

4 Ever-charging signal pairs decreasing d dt negative increasing dt positive decreasing charging negative increasing decreasing increasing + charging positive negative positive vc il ic vl charge discharge 4

5 Positive and Negative Charging + charging from 0 + charged charging from 0 discharged charged vc 5

6 Negative Charging from Vdd and 0 charging from 0 charging from Vdd + charged discharged charged vc 6

7 Positive and Negative Charging + charging from 0 charging from 0 discharged 0V +Vdd charged charging from +Vdd Vdd charged charging from 0 7

8 Time Reversal Vc cannot change abruptly +V dd 0 time reversal 0 +V dd +V dd 0 0 V dd V dd 0 time reversal V dd 0 8

9 (+) and ( ) Charging charging from +Vdd + charging from 0 0 +V dd +V dd 0 0 V dd V dd 0 charging from 0 + charging from Vdd 9

10 (+) charging / ( ) charging + charging charging from 0 from 0 vc charging charging from Vdd from 0 vc + charging + charging from Vdd from 0 10

11 Ideal Voltage Vc and Ic charging charging from Vdd from 0 vc + charging + charging from Vdd from 0 d dt ic 11

12 Sinusoidal VC and IC charging charging from Vdd from 0 vc + charging + charging from Vdd from 0 d dt ic + charging + charging from Vdd from 0 12

13 Sinusoidal VC and IC + charging from 0 + charged charging from 0 discharged charged vc d dt ic 13

14 Sinusoidal VC and IC charging from 0 charging from Vdd + charged discharged charged vc d dt ic 14

15 Three States 15

16 Capacitor Current positive charge (positive ions) insulator negative charge (free electrons) electrons leave electrons accumulate No actual electrons movement across insulator materials But, think as Displacement Current flows through the capacitor 16

17 Positive ions and free electrons positive charge (positive ions) insulator negative charge (free electrons) [[commons:user crap ]] (original work by commons:user:greg Robson) /thumb/f/f7/electron_shell_029_copper no_label.svg/200pxelectron_shell_029_copper_-_no_label.svg.png

18 Three States positive charge (positive ions) negative charge Negatively Charged State (free electrons) fully charged no current Positively Charged State fully charged no current Fully Discharged State possible large current 18

19 Currents in the Fully Discharged State large current Fully Discharged State Initially no current Fully Discharged State large current Fully Discharged State This state can flow large current in either direction depending on the voltage change 19

20 Inter-State Current Flowing Under Positively Charging Under Negatively Charging (+) current flow direction ( ) current flow direction electron flow direction electron flow direction 20

21 Inter-State Current Flowing Fully Discharged State Under Positively Charging (+) current flow direction (+) current flow direction electron flow direction electron flow direction large current Positively Charged State Crowded No more space no current 21

22 Inter-State Current Flowing Fully Discharged State Under Negatively Charging ( ) current flow direction ( ) current flow direction electron flow direction electron flow direction Initial large current Negatively Charged State Crowded No more space no current 22

23 An AC Voltage Source 23

24 Continuous (Ever-) Charing Operations Incremental Voltage Increment + Charging incrementally Incremental Voltage Decrement Charging incrementally + charging incrementally - charging incrementally - charging incrementally + charging incrementally + charging incrementally - discharging incrementally - charging incrementally + discharging incrementally 24

25 Superposition - charging incrementally + charging incrementally 25 + charging incrementally - charging incrementally

26 Superposition - Small Time Constant 26

27 Difference, Differentiation 27

28 Continuous Charing and Discharging Operations + charging incrementally - charging incrementally - charging incrementally 28 + charging incrementally

29 Incrementally Charging - charging incrementally + charging incrementally 29 - charging incrementally

30 An AC Voltage Source Fully Discharged State Under Positively Charging Positively Charged State Under Negatively Charging Fully Discharged State Under Negatively Charging Negatively Charged State Under Positively Charging Fully Discharged State 30

31 Fully Charged and Fully Discharged Fully + Charged Fully Discharged Fully Discharged Fully Discharged Fully Charged (+) Charging ( ) Charging ( ) Charging (+) Charging (+) Current ( ) Current ( ) Current (+) Current (+) Charging (+) Discharging ( ) Charging ( ) Discharging 31

32 A Cycle Fully Discharged State Fully Discharged State 32

33 State Transition Diagram Fully Discharged State Fully Discharged State 33

34 Current Flow Positive Charged State Fully Discharged State Fully Discharged State Negative Charged State 34

35 Fully Discharged : Large Current Fully Discharged State large current Fully Discharged State large current Enough space for large movement of charges This state can flow large current in either direction Fully + Charged Fully Discharged Fully Discharged Fully Discharged dv c = 1 (max value) dt Fully Charged 35

36 Fully Charged : Zero Current Positively Charged State Negatively Charged State fully charged no current fully charged no current Crowded No more space no current Fully + Charged Fully Discharged Fully Discharged Fully Discharged dv c = 0 (min value) dt Fully Charged 36

37 Incrementally, Charging Positively v (t 1 ) < 0 v (t 2) < 0 v (t 3) < 0 v (t 4 ) > 0 v (t 5) > 0 v ' (t 1) > 0 v ' (t 2 ) > 0 v ' (t 3 ) > 0 v ' (t 4 ) > 0 v ' (t 5 ) > 0 Δ v (t 1 ) > 0 Δ v (t 2 ) > 0 Δ v (t 3 ) > 0 Δ v (t 4) > 0 Δ v (t 5 ) > 0 t1 t2 t3 37 t4 t5

38 Incrementally, Charging Positively v (t 1 ) < 0 v (t 2) < 0 v (t 3) < 0 v (t 4 ) > 0 v (t 5) > 0 v ' (t 1) > 0 v ' (t 2 ) > 0 v ' (t 3 ) > 0 v ' (t 4 ) > 0 v ' (t 5 ) > 0 Δ v (t 1 ) > 0 Δ v (t 2 ) > 0 Δ v (t 3 ) > 0 Δ v (t 4) > 0 Δ v (t 5 ) > 0 excess positive electrons ions Δ v (t 1 ) > 0 equilibrium Δ v (t 2 ) > 0 Δ v (t 3 ) > 0 38 positive ions Δ v (t 4 ) > 0 excess electrons Δ v (t 5 ) > 0

39 Incrementally, Charging Negatively v (t a ) > 0 v (t b ) > 0 v (t c ) = 0 v (t d ) < 0 v (t e ) < 0 v ' (t a ) < 0 v ' (t b ) < 0 v ' (t c ) < 0 v ' (t d ) < 0 v ' (t e ) < 0 Δ v (t a ) < 0 Δ v (t b ) < 0 Δ v (t c ) < 0 Δ v (t d ) < 0 Δ v (t e ) < 0 ta 39 tb tc td te

40 Incrementally, Charging Negatively v (t a ) > 0 v (t b ) > 0 v (t c ) = 0 v (t d ) < 0 v (t e ) < 0 v ' (t a ) < 0 v ' (t b ) < 0 v ' (t c ) < 0 v ' (t d ) < 0 v ' (t e ) < 0 Δ v (t a ) < 0 Δ v (t b ) < 0 Δ v (t c ) < 0 Δ v (t d ) < 0 Δ v (t e ) < 0 positive ions excess electrons Δ v (t a ) < 0 equilibrium Δ v (t b ) < 0 Δ v (t c ) < 0 40 excess positive electrons ions Δ v (t d ) < 0 Δ v (t e ) < 0

41 Difference of Samples y (t ) = sin(t ) y [ n] = sin(nt ) y [ n] y [n+1] = sin(n T ) sin ((n+1)t ) y [n] y [n+1] T dy dt 41

42 Fully Charged and Fully Discharged y [ n] y [n+1] y [ n] h = bar(t1, [y1' y2'], "stacked") set(h(1), "facecolor", "g"); set(h(2), "facecolor", "y"); hold on plot(t1, y1) axis([0 pi]); y [ n] y [n+1] = y (n T ) y ((n+1)t )=sin(n T ) sin ((n+1)t ) 42

43 Fully Charged and Fully Discharged Fully + Charged Fully Discharged y (t)=sin (t) h = bar(t1, y2/t(2), "hist") set(h(1), "facecolor", "y"); hold on plot(t1, y1) axis([ ]); Fully Discharged Fully Discharged Fully Charged 43 y [n] y [n+1] T dy dt

44 y[n+1] y[n] t = linspace(0, pi*2, 50); t1 = t; t2 = t + t(2); y1 = sin(t1); y2 = sin(t2) - sin(t1); stem(t1, y2) hold on plot(t1, y1) y (t)=sin (t) y [n ] y [n+1] = y (n T ) y ((n+1)t )=sin(n T ) sin ((n+1)t ) 44

45 Fully Charged and Fully Discharged clf t = linspace(0, pi*2, 50); t1 = t; t2 = t + t(2); y1 = sin(t1); y2 = sin(t2) - sin(t1); y3 = e.^(-20*t); y4 = conv(y2, y3); y5 = y4([1:length(t1)]); subplot(3, 1, 2); stem(t1, y2) subplot(3, 1, 1); hold on plot(t1, y1); plot(t1, y3); subplot(3, 1, 3); stem(t1, y5); 45

46 Pulse vc ic ic ω vc vc ic ic 46 d vc = C dt ic XC

47 Time Constants ic τ = RC small time constant τ = RC medium time constant τ = RC large time constant 47

48 Time Constants ic τ 1 < τ2 < τ 3 a1 > a2 > a3 t τ e = e τ = RC = t RC = e a t 1 a 48

49 Time Constants ic τ = RC e t τ τ = RC = e t RC e small τ small C large τ large C 1 large R ωc small Fully Capacitative Fully Resistive v C (t ) v C (t) ic (t) ic (t) 49 t τ = e t RC 1 R ωc

50 Time Constants ic τ = RC e t τ τ = RC = e t RC e small τ small C large τ large C 1 large R ωc small Fully Capacitative Fully Resistive 50 t τ = e t RC 1 R ωc

51 Superposition - Small Time Constant 51

52 Small Time Constants 52

53 Superposition Large Time Constant 53

54 Large Time Constants 54

55 Time Constants ic τ = RC e t τ τ = RC = e t RC e small τ small C large τ large C 1 large R ωc small Fully Capacitative Fully Resistive 55 t τ = e t RC 1 R ωc

56 Plotting superposition results clf t = linspace(0, pi*2, 50); tt= linspace(0, pi*2, 500); N = length(t); NN= length(tt); t1 = t; t2 = [t(2:n), t(n)]; y1 = sin(t1); y2 = sin(t2) - sin(t1); yy = [y1; zeros(nn/n-1, N)]; yy2= yy(:)'; a = 1/300; yy3= e.^(-a*tt); yy3 =yy3 - [zeros(1, NN/N), e.^(-a*tt)](1:nn); svec = zeros(1, NN); for i = 1:NN; tvec = zeros(1, NN); tvec = [zeros(1, i-1), yy3]; tvec = yy2(i) * tvec(1:nn); svec = svec + tvec; endfor yy4 = svec; % yy4= conv(yy2, yy3); y5 = yy4([1:nn/n:nn]); yy5= yy4([1:nn]); 56 subplot(4, 1, 2); stem(t1, y2) subplot(4, 1, 1); hold on plot(t1, y1); plot(tt, yy3); subplot(4, 1, 3); stem(t1, y5); hold on plot(tt, yy5) subplot(4, 1, 4); plot(yy4);

57 Small Time Constant yy = [y1; zeros(nn/n-1, N)]; yy2= yy(:)'; a = 300; yy3= e.^(-a*tt); yy3 =yy3 [zeros(1, NN/N), e.^(-a*tt)](1:nn); τ = RC e t τ = e t RC small τ small C large 57 1 ωc

58 Large Time Constant yy = [y1; zeros(nn/n-1, N)]; yy2= yy(:)'; a = 1/300; yy3= e.^(-a*tt); yy3 =yy3 [zeros(1, NN/N), e.^(-a*tt)](1:nn); τ = RC e t τ = e t RC large τ large C small 58 1 ωc

59 Envelope of the samples v C (t ) v C (t) ic (t ) ic (t) v C (t) v C (t) ic (t) ic (t ) 59

60 Evercharging signal pairs charge discharge charge discharge 60

61 I leads V by 90 Initial charge Full charge SHORT OPEN V=0 I=0 I : peak V : peak 61 I V

62 Evercharging signal pairs charge discharge charge discharge 62

63 Evercharging signal pairs charge discharge charge discharge 63

64 Evercharging signal pairs decreasing increasing decreasing increasing decreasing increasing d dt negative positive charge discharge negative positive vc il ic vl charge discharge 64

65 References [1] [2] J.H. McClellan, et al., Signal Processing First, Pearson Prentice Hall, 2003

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor Young Won Lim 06/22/2017

Capacitor Young Won Lim 06/22/2017 Capacitor Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Capacitor and Inductor

Capacitor and Inductor Capacitor and Inductor Copyright (c) 2015 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Capacitor and Inductor

Capacitor and Inductor Capacitor and Inductor Copyright (c) 2015 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

CT Rectangular Function Pairs (5B)

CT Rectangular Function Pairs (5B) C Rectangular Function Pairs (5B) Continuous ime Rect Function Pairs Copyright (c) 009-013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10 DFT Frequency (9A) Each ow of the DFT Matrix Copyright (c) 2009, 2010 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GU Free Documentation License,

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

General CORDIC Description (1A)

General CORDIC Description (1A) General CORDIC Description (1A) Copyright (c) 2010, 2011, 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

Group & Phase Velocities (2A)

Group & Phase Velocities (2A) (2A) 1-D Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Signal Functions (0B)

Signal Functions (0B) Signal Functions (0B) Signal Functions Copyright (c) 2009-203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

ODE Background: Differential (1A) Young Won Lim 12/29/15

ODE Background: Differential (1A) Young Won Lim 12/29/15 ODE Background: Differential (1A Copyright (c 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

CLTI System Response (4A) Young Won Lim 4/11/15

CLTI System Response (4A) Young Won Lim 4/11/15 CLTI System Response (4A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Fourier Analysis Overview (0B)

Fourier Analysis Overview (0B) CTFS: Continuous Time Fourier Series CTFT: Continuous Time Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2009-2016 Young W. Lim. Permission

More information

Discrete Time Rect Function(4B)

Discrete Time Rect Function(4B) Discrete Time Rect Function(4B) Discrete Time Rect Functions Copyright (c) 29-213 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

Down-Sampling (4B) Young Won Lim 10/25/12

Down-Sampling (4B) Young Won Lim 10/25/12 Down-Sampling (4B) /5/ Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later

More information

Phasor Young Won Lim 05/19/2015

Phasor Young Won Lim 05/19/2015 Phasor Copyright (c) 2009-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Group Velocity and Phase Velocity (1A) Young Won Lim 5/26/12

Group Velocity and Phase Velocity (1A) Young Won Lim 5/26/12 Group Velocity and Phase Velocity (1A) Copyright (c) 211 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Discrete Time Rect Function(4B)

Discrete Time Rect Function(4B) Discrete Time Rect Function(4B) Discrete Time Rect Functions Copyright (c) 29-23 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Down-Sampling (4B) Young Won Lim 11/15/12

Down-Sampling (4B) Young Won Lim 11/15/12 Down-Sampling (B) /5/ Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later

More information

Group Delay and Phase Delay (1A) Young Won Lim 7/19/12

Group Delay and Phase Delay (1A) Young Won Lim 7/19/12 Group Delay and Phase Delay (A) 7/9/2 Copyright (c) 2 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Up-Sampling (5B) Young Won Lim 11/15/12

Up-Sampling (5B) Young Won Lim 11/15/12 Up-Sampling (5B) Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later version

More information

Propagating Wave (1B)

Propagating Wave (1B) Wave (1B) 3-D Wave Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Expected Value (10D) Young Won Lim 6/12/17

Expected Value (10D) Young Won Lim 6/12/17 Expected Value (10D) Copyright (c) 2017 Young W. Lim. Permissios granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Background LTI Systems (4A) Young Won Lim 4/20/15

Background LTI Systems (4A) Young Won Lim 4/20/15 Background LTI Systems (4A) Copyright (c) 2014-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Higher Order ODE's (3A) Young Won Lim 12/27/15

Higher Order ODE's (3A) Young Won Lim 12/27/15 Higher Order ODE's (3A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Audio Signal Generation. Young Won Lim 2/2/18

Audio Signal Generation. Young Won Lim 2/2/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

CLTI Differential Equations (3A) Young Won Lim 6/4/15

CLTI Differential Equations (3A) Young Won Lim 6/4/15 CLTI Differential Equations (3A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Higher Order ODE's (3A) Young Won Lim 7/7/14

Higher Order ODE's (3A) Young Won Lim 7/7/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Introduction to ODE's (0A) Young Won Lim 3/9/15

Introduction to ODE's (0A) Young Won Lim 3/9/15 Introduction to ODE's (0A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Matrix Transformation (2A) Young Won Lim 11/10/12

Matrix Transformation (2A) Young Won Lim 11/10/12 Matrix (A Copyright (c 0 Young W. im. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation icense, Version. or any later version published

More information

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09 Detect Sensor (6B) Eddy Current Sensor Copyright (c) 2009 Young W. Lim. Permission is granteo copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Audio Signal Generation. Young Won Lim 2/2/18

Audio Signal Generation. Young Won Lim 2/2/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

FFT Octave Codes (1B) Young Won Lim 7/6/17

FFT Octave Codes (1B) Young Won Lim 7/6/17 FFT Octave Codes (1B) Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Dispersion (3A) 1-D Dispersion. Young W. Lim 10/15/13

Dispersion (3A) 1-D Dispersion. Young W. Lim 10/15/13 1-D Dispersion Copyright (c) 013. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

Relations (3A) Young Won Lim 3/27/18

Relations (3A) Young Won Lim 3/27/18 Relations (3A) Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Separable Equations (1A) Young Won Lim 3/24/15

Separable Equations (1A) Young Won Lim 3/24/15 Separable Equations (1A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Background Trigonmetry (2A) Young Won Lim 5/5/15

Background Trigonmetry (2A) Young Won Lim 5/5/15 Background Trigonmetry (A) Copyright (c) 014 015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or

More information

Higher Order ODE's (3A) Young Won Lim 7/8/14

Higher Order ODE's (3A) Young Won Lim 7/8/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

DFT Octave Codes (0B) Young Won Lim 4/15/17

DFT Octave Codes (0B) Young Won Lim 4/15/17 Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

General Vector Space (2A) Young Won Lim 11/4/12

General Vector Space (2A) Young Won Lim 11/4/12 General (2A Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Signals and Spectra (1A) Young Won Lim 11/26/12

Signals and Spectra (1A) Young Won Lim 11/26/12 Signals and Spectra (A) Copyright (c) 202 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later

More information

Hilbert Inner Product Space (2B) Young Won Lim 2/7/12

Hilbert Inner Product Space (2B) Young Won Lim 2/7/12 Hilbert nner Product Space (2B) Copyright (c) 2009-2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect. Young Won Lim 9/23/09

Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect. Young Won Lim 9/23/09 Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect Copyright (c) 2009 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

CT Correlation (2A) Young Won Lim 9/9/14

CT Correlation (2A) Young Won Lim 9/9/14 CT Correlation (2A) Copyright (c) 200-204 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later

More information

Root Locus (2A) Young Won Lim 10/15/14

Root Locus (2A) Young Won Lim 10/15/14 Root Locus (2A Copyright (c 2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Audio Signal Generation. Young Won Lim 1/29/18

Audio Signal Generation. Young Won Lim 1/29/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Matrix Transformation (2A) Young Won Lim 11/9/12

Matrix Transformation (2A) Young Won Lim 11/9/12 Matrix (A Copyright (c 01 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

Utility Functions Octave Codes (0A) Young Won Lim 1/24/18

Utility Functions Octave Codes (0A) Young Won Lim 1/24/18 Copyright (c) 2009-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Definitions of the Laplace Transform (1A) Young Won Lim 1/31/15

Definitions of the Laplace Transform (1A) Young Won Lim 1/31/15 Definitions of the Laplace Transform (A) Copyright (c) 24 Young W. Lim. Permission is granted to copy, distriute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 10/22/12

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 10/22/12 Line Integrals (4A Line Integral Path Independence Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Undersampling (2B) Young Won Lim 4/4/12

Undersampling (2B) Young Won Lim 4/4/12 Undersapling (2) Copyright (c) 2009-2012 Young W. Li. Perission is granted to copy, distribute and/or odify this docuent under the ters of the GNU Free Docuentation License, Version 1.2 or any later version

More information

Bandpass Sampling (2B) Young Won Lim 3/27/12

Bandpass Sampling (2B) Young Won Lim 3/27/12 andpass Sapling (2) Copyright (c) 2009-2012 Young W. Li. Perission is granted to copy, distribute and/or odify this docuent under the ters of the GNU Free Docuentation License, Version 1.2 or any later

More information

The Growth of Functions (2A) Young Won Lim 4/6/18

The Growth of Functions (2A) Young Won Lim 4/6/18 Copyright (c) 2015-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Complex Trigonometric and Hyperbolic Functions (7A)

Complex Trigonometric and Hyperbolic Functions (7A) Complex Trigonometric and Hyperbolic Functions (7A) 07/08/015 Copyright (c) 011-015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Second Order ODE's (2A) Young Won Lim 5/5/15

Second Order ODE's (2A) Young Won Lim 5/5/15 Second Order ODE's (2A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

CMOS Inverter. Young Won Lim 3/31/16

CMOS Inverter. Young Won Lim 3/31/16 CMO Inverter Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the NU Free ocumentation License, Version 1.2 or any later version

More information

Higher Order ODE's, (3A)

Higher Order ODE's, (3A) Higher Order ODE's, (3A) Initial Value Problems, and Boundary Value Problems Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms

More information

Background ODEs (2A) Young Won Lim 3/7/15

Background ODEs (2A) Young Won Lim 3/7/15 Background ODEs (2A) Copyright (c) 2014-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Spectra (2A) Young Won Lim 11/8/12

Spectra (2A) Young Won Lim 11/8/12 Spectra (A) /8/ Copyright (c) 0 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later version

More information

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 11/2/12

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 11/2/12 Line Integrals (4A Line Integral Path Independence Copyright (c 2012 Young W. Lim. Permission is granted to copy, distriute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Linear Equations with Constant Coefficients (2A) Young Won Lim 4/13/15

Linear Equations with Constant Coefficients (2A) Young Won Lim 4/13/15 Linear Equations with Constant Coefficients (2A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Sequential Circuit Timing. Young Won Lim 11/6/15

Sequential Circuit Timing. Young Won Lim 11/6/15 Copyright (c) 2011 2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Bayes Theorem (10B) Young Won Lim 6/3/17

Bayes Theorem (10B) Young Won Lim 6/3/17 Bayes Theorem (10B) Copyright (c) 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

FSM Examples. Young Won Lim 11/6/15

FSM Examples. Young Won Lim 11/6/15 /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version published

More information

Hamiltonian Cycle (3A) Young Won Lim 5/5/18

Hamiltonian Cycle (3A) Young Won Lim 5/5/18 Hamiltonian Cycle (3A) Copyright (c) 015 018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any

More information

Complex Series (3A) Young Won Lim 8/17/13

Complex Series (3A) Young Won Lim 8/17/13 Complex Series (3A) 8/7/3 Copyright (c) 202, 203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or

More information

Signal Processing. Young Won Lim 3/3/18

Signal Processing. Young Won Lim 3/3/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Introduction to ODE's (0P) Young Won Lim 12/27/14

Introduction to ODE's (0P) Young Won Lim 12/27/14 Introuction to ODE's (0P) Copyright (c) 2011-2014 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Signal Processing. Young Won Lim 2/22/18

Signal Processing. Young Won Lim 2/22/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Chapter 33. Alternating Current Circuits

Chapter 33. Alternating Current Circuits Chapter 33 Alternating Current Circuits 1 Capacitor Resistor + Q = C V = I R R I + + Inductance d I Vab = L dt AC power source The AC power source provides an alternative voltage, Notation - Lower case

More information

General Vector Space (3A) Young Won Lim 11/19/12

General Vector Space (3A) Young Won Lim 11/19/12 General (3A) /9/2 Copyright (c) 22 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version

More information

Propositional Logic Resolution (6A) Young W. Lim 12/12/16

Propositional Logic Resolution (6A) Young W. Lim 12/12/16 Propositional Logic Resolution (6A) Young W. Lim Copyright (c) 2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Differentiation Rules (2A) Young Won Lim 1/30/16

Differentiation Rules (2A) Young Won Lim 1/30/16 Differentiation Rules (2A) Copyright (c) 2011-2016 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Signal Processing. Young Won Lim 2/24/18

Signal Processing. Young Won Lim 2/24/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Bayes Theorem (4A) Young Won Lim 3/5/18

Bayes Theorem (4A) Young Won Lim 3/5/18 Bayes Theorem (4A) Copyright (c) 2017-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Surface Integrals (6A)

Surface Integrals (6A) Surface Integrals (6A) Surface Integral Stokes' Theorem Copright (c) 2012 Young W. Lim. Permission is granted to cop, distribute and/or modif this document under the terms of the GNU Free Documentation

More information

Integrals. Young Won Lim 12/29/15

Integrals. Young Won Lim 12/29/15 Integrls Copyright (c) 2011-2015 Young W. Lim. Permission is grnted to copy, distribute nd/or modify this document under the terms of the GNU Free Documenttion License, Version 1.2 or ny lter version published

More information

Propositional Logic Resolution (6A) Young W. Lim 12/31/16

Propositional Logic Resolution (6A) Young W. Lim 12/31/16 Propositional Logic Resolution (6A) Young W. Lim Copyright (c) 2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

To find the step response of an RC circuit

To find the step response of an RC circuit To find the step response of an RC circuit v( t) v( ) [ v( t) v( )] e tt The time constant = RC The final capacitor voltage v() The initial capacitor voltage v(t ) To find the step response of an RL circuit

More information

Signal Processing. Young Won Lim 2/20/18

Signal Processing. Young Won Lim 2/20/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

20D - Homework Assignment 4

20D - Homework Assignment 4 Brian Bowers (TA for Hui Sun) MATH 0D Homework Assignment November, 03 0D - Homework Assignment First, I will give a brief overview of how to use variation of parameters. () Ensure that the differential

More information

Implication (6A) Young Won Lim 3/17/18

Implication (6A) Young Won Lim 3/17/18 Implication (6A) 3/17/18 Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Complex Functions (1A) Young Won Lim 2/22/14

Complex Functions (1A) Young Won Lim 2/22/14 Complex Functions (1A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Differentiation Rules (2A) Young Won Lim 2/22/16

Differentiation Rules (2A) Young Won Lim 2/22/16 Differentiation Rules (2A) Copyright (c) 2011-2016 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

REACTANCE. By: Enzo Paterno Date: 03/2013

REACTANCE. By: Enzo Paterno Date: 03/2013 REACTANCE REACTANCE By: Enzo Paterno Date: 03/2013 5/2007 Enzo Paterno 1 RESISTANCE - R i R (t R A resistor for all practical purposes is unaffected by the frequency of the applied sinusoidal voltage or

More information

DOING PHYSICS WITH MATLAB FINITE DIFFERENCE METHOD: NUMERICAL ANAYSIS OF RC CIRCUITS

DOING PHYSICS WITH MATLAB FINITE DIFFERENCE METHOD: NUMERICAL ANAYSIS OF RC CIRCUITS DOING PHYSICS WITH MATLAB FINITE DIFFERENCE METHOD: NUMERICAL ANAYSIS OF RC CIRCUITS Matlab download directory Matlab script CN03.m Modelling RC circuits using the finite difference method to approximate

More information

Finite State Machine (1A) Young Won Lim 6/9/18

Finite State Machine (1A) Young Won Lim 6/9/18 Finite State Machine (A) 6/9/8 Copyright (c) 23-28 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free ocumentation License, Version.2 or

More information