Up-Sampling (5B) Young Won Lim 11/15/12

Size: px
Start display at page:

Download "Up-Sampling (5B) Young Won Lim 11/15/12"

Transcription

1 Up-Sampling (5B)

2 Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later version published by the Free Software Foundation; with no Invariant Sections, no Front-Cover exts, and no Back-Cover exts. A copy of the license is included in the section entitled "GNU Free Documentation License". Please send corrections (or suggestions) to youngwlim@hotmail.com. his document was produced by using OpenOffice and Octave.

3 = Spectrum Replication () Ideal Sampling x(t) x(t) = + n = x(n ) δ(t n ) X t s(t) = + δ(t n ) n = s(t) = + e + j π m t m = t x(t) = + n = x(t) e + j π m t x(t) Shift Property t X ( f ) = + n = X ( f m ) 5B Up-Sampling 3

4 = Spectrum Replication () S ( f ) = + δ( f m ) m = Frequency Domain X ( f ) A Convolution in Frequency X ( f ) = X ( f ) S ( f ) ω + = X ( f f ' )S ( f ' ) d f ' S ( f ) * = + m = + X ( f f ' )δ( f ' m ) d f ' π π + π + π ω X ( f ) = + n = X ( f m ) X ( f ) A π π + π + π ω 5B Up-Sampling 4

5 Increasing Sampling Frequency F s ' = UP / / 4 F s = F s ω/ π f f 4 s f f f 4 s 4 s 4 s s 3 F s ' ω/ π Sampling Frequency F s ' = Sampling Frequency F s = 4 Sampling ime ' = Sampling ime = B Up-Sampling 5

6 Fine Sequence & Spectrum x[n] ime Sequence UP F s = = / / 4 4 F s = 4 = Linear Frequency 3 F s ω/ π f f 4 s f f f 4 s 4 s 4 s s / F s / 4 = compressed Linear Frequency F s ' = 8 3 F s ' ω/ π ω π 3 π π π π π 3 π π Normalized Radian Frequency Normalized Radian Frequency ω 5B Up-Sampling 6

7 Normalized Radian Frequency x[n] ime Sequence ω = ω s = ω / s ω = ω = π f 4 f = /4 = 4 he Same ime Sequence Normalized Radian Frequency f = 4 / = 4 he Highest Frequency: y ' [n] ime Sequence 4 Normalized to Normalized Radian Frequency 5B Up-Sampling 7

8 Fine Sequence Spectrum Linear Frequency x[n] BW / Fs = 8 = UP ime Sequence BW = 8 /4 4 BW Fs 4 = = he Same ime Sequence BW ime Sequence BW /4 Fs 4 = = BW 5B Up-Sampling 8

9 Fine Sequence Spectrum Normalized Frequency x[n] BW / Fs = 8 = UP ime Sequence BW = 8 /4 π 3 π π π Normalized Radian Frequency Fs 4 = 4 ω = 4 he Same ime Sequence π 3 π 4 π π Normalized Radian Frequency ω ime Sequence BW /4 Fs 4 = = π 3 π π 4 π Normalized Radian Frequency ω 5B Up-Sampling 9

10 Fine Sequence Spectrum Linear Frequency Linear Frequency Normalized Radian Frequency x[n] / = / Normalized Radian Frequency UP ime Sequence /4 4 4 High Freq = 4 /4 π π 3 π π ω Normalized Radian Frequency = 4 he Same ime Sequence 4 4 High Freq 3 4 π π 3 π 4 π ω y [n] ime Sequence /4 = /4 Normalized Radian Frequency High Freq π 3 π π 4 π ω Fine Sequence Spectrum Compressed Normalized Radian Frequency 5B Up-Sampling

11 Fine Sequence Generation y u [n] Sampling Period Insert Zero Samples y u [n] Interpolate with Filter x[n] Sampling Period x[n] ime Sequence 5B Up-Sampling

12 Up Sampling in wo Steps ime Sequence v[n] 4 Highest Frequency Sampling Period 4 Interpolation Unpack & Insert D Zeros v[n] Restore D Samples x[n] Highest Frequency Sampling Period x[n] ime Sequence 5B Up-Sampling

13 Up-Sampling Operator v[n] D x[n] 4 D L v[n] v[n] D L-fold Expander 4 D v[n] = S L = y[n/ L] Increase sampling frequency by a factor of L if mod(n / L) = otherwise Decrease sampling period by a factor of /L n= = n= = n= =4 n=3 =6 D = v[] = y [] v[] = v[] = y[] v[3] = v[4] = y[] v[5] = v[6] = y[3] v[6] = 5B Up-Sampling 3

14 Up-Sampling Operator v[n] D x[n] 4 D L v[n] v[n] D L-fold Expander 4 D v[n] = S L = y[n/ L] if mod(n / L) = L-fold Expander = e j ω n π ω +π v[n] = e j ωn/ L δ L [n] π/ L ω/ L +π/ L compressed L π ω +L π π ω / L +π ω > +L π ω / L > +π 5B Up-Sampling 4

15 Example When D= () x[n] δ [n] D = v[n] t 4 x[n] = e j ωn δ [n] = ( + ( )n ) = ( + e j π n ) (e j π = ) v [n] = x[ n] + e jπ n x[n] = e j ω n + e j π n e j ωn = e j ω n + e+ j(ω π) n n V ( z) = + ( x[n] z n + x[n]( z) n ) = n= X ( z) + X ( z) V (e j ω ) = X (e j ω ) + X (e j π e j ω ) V ( ω) = X ( ω) + X ( ω π) 5B Up-Sampling 5

16 Z-ransform Analysis δ D [n] = if n/d is an integer otherwise v[n] = δ D [n] x[n] V [ z] = + v[] z + v[ D] z D + v[d] z D + V [ z] = + n= + v[n] z n = m= v[md] z md = F (z D ) Sampling Period 5B Up-Sampling 6

17 Z-ransform Analysis δ [n] = ( + ( )n ) = ( + e j π n ) = if n/ is an integer (even) otherwise e jπ = v [n] = x[n] + e jπ n x[n] x[n] = e j ωn v [n] = e jω n + e j π n e j ωn = e j ωn + e+ j(ω π) n V ( z) = + ( x[n] z n + x[n]( z) n ) = n= X ( z) + X ( z) V (ω) = V (e jω ) = X (e j ω ) + X (e jπ e j ω ) = X (ω) + X (ω π) 5B Up-Sampling 7

18 Measuring Rotation Rate 5B Up-Sampling 8

19 Signals with Harmonic Frequencies () Hz cycle / sec Hz cycles / sec 3 Hz 3 cycles / sec 4 Hz 4 cycles / sec 5 Hz 5 cycles / sec 6 Hz 6 cycles / sec 7 Hz 7 cycles / sec cos ( πt) cos ( π t) cos (3 πt) cos (4 πt) cos (5 πt) cos (6 πt ) cos (7 πt) = e+ j( π)t j( π)t + e = e+ j( π)t j( π)t + e = e+ j(3 π)t + e j(3 π)t = e+ j(4 π)t j( 4 π) t + e = e+ j(5 π)t + e j(5 π)t = e+ j(6 π)t + e j(6 π)t = e+ j(7 π)t + e j(7 π)t 5B Up-Sampling 9

20 Signals with Harmonic Frequencies () Hz cycle / sec Hz cycles / sec 3 Hz 3 cycles / sec 4 Hz 4 cycles / sec 5 Hz 5 cycles / sec 6 Hz 6 cycles / sec 7 Hz 7 cycles / sec B Up-Sampling

21 Sampling Frequency Hz sample / sec Hz samples / sec 3 Hz 3 samples / sec 4 Hz 4 samples / sec 5 Hz 5 samples / sec 6 Hz 6 samples / sec 7 Hz 7 samples / sec B Up-Sampling

22 Nyquist Frequency Hz cycle / sec Hz cycles / sec 3 Hz 3 cycles / sec 4 Hz 4 cycles / sec 5 Hz 5 cycles / sec 6 Hz 6 cycles / sec 7 Hz 7 cycles / sec x sample / sec x samples / sec x3 samples / sec x4 samples / sec x5 samples / sec x6 samples / sec x7 samples / sec 5B Up-Sampling

23 Aliasing Hz 7 Hz 6π x4 samples / sec 4 4 π Hz 6 Hz 4 4 6π x4 samples / sec 6π 3 Hz 5 Hz 6 6 6π x4 samples / sec 6π 4 Hz 8 8 6π x4 samples / sec 5B Up-Sampling 3

24 Sampling s = rad /sec = f = f = s rad / sec = s rad / sec f = rad / sec f = rad / sec rad / s sec rad / s sec rad / s sec 5B Up-Sampling 4

25 Sampling = f rad /sec s = rad /sec s = rad / s sec rad / s sec For the period of s Angular displacement = s rad rad = f s rad = 4 s rad = rad 5B Up-Sampling 5

26 Angular Frequencies in Sampling continuous-time signals Signal Frequency f = Signal Angular Frequency ω = π f (rad / sec) For second For revolution ω = π f (rad / sec) π (rad ) / (sec) sampling sequence Sampling Frequency = s For second For revolution ω s = π (rad /sec) π (rad ) / s (sec) Sampling Angular Frequency s = rad /sec 5B Up-Sampling 6

27 5B Up-Sampling 7

28 5B Up-Sampling 8

29 5B Up-Sampling 9

30 References [] [] J.H. McClellan, et al., Signal Processing First, Pearson Prentice Hall, 3 [3] A graphical interpretation of the DF and FF, by Steve Mann [4] R. Cristi, Modern Digital Signal Processing

Down-Sampling (4B) Young Won Lim 11/15/12

Down-Sampling (4B) Young Won Lim 11/15/12 Down-Sampling (B) /5/ Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later

More information

Down-Sampling (4B) Young Won Lim 10/25/12

Down-Sampling (4B) Young Won Lim 10/25/12 Down-Sampling (4B) /5/ Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later

More information

Fourier Analysis Overview (0B)

Fourier Analysis Overview (0B) CTFS: Continuous Time Fourier Series CTFT: Continuous Time Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2009-2016 Young W. Lim. Permission

More information

CT Rectangular Function Pairs (5B)

CT Rectangular Function Pairs (5B) C Rectangular Function Pairs (5B) Continuous ime Rect Function Pairs Copyright (c) 009-013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10 DFT Frequency (9A) Each ow of the DFT Matrix Copyright (c) 2009, 2010 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GU Free Documentation License,

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

Group Delay and Phase Delay (1A) Young Won Lim 7/19/12

Group Delay and Phase Delay (1A) Young Won Lim 7/19/12 Group Delay and Phase Delay (A) 7/9/2 Copyright (c) 2 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Propagating Wave (1B)

Propagating Wave (1B) Wave (1B) 3-D Wave Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Discrete Time Rect Function(4B)

Discrete Time Rect Function(4B) Discrete Time Rect Function(4B) Discrete Time Rect Functions Copyright (c) 29-23 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

General CORDIC Description (1A)

General CORDIC Description (1A) General CORDIC Description (1A) Copyright (c) 2010, 2011, 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Discrete Time Rect Function(4B)

Discrete Time Rect Function(4B) Discrete Time Rect Function(4B) Discrete Time Rect Functions Copyright (c) 29-213 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Spectra (2A) Young Won Lim 11/8/12

Spectra (2A) Young Won Lim 11/8/12 Spectra (A) /8/ Copyright (c) 0 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later version

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Signal Functions (0B)

Signal Functions (0B) Signal Functions (0B) Signal Functions Copyright (c) 2009-203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Hilbert Inner Product Space (2B) Young Won Lim 2/7/12

Hilbert Inner Product Space (2B) Young Won Lim 2/7/12 Hilbert nner Product Space (2B) Copyright (c) 2009-2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Bandpass Sampling (2B) Young Won Lim 3/27/12

Bandpass Sampling (2B) Young Won Lim 3/27/12 andpass Sapling (2) Copyright (c) 2009-2012 Young W. Li. Perission is granted to copy, distribute and/or odify this docuent under the ters of the GNU Free Docuentation License, Version 1.2 or any later

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Group & Phase Velocities (2A)

Group & Phase Velocities (2A) (2A) 1-D Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Phasor Young Won Lim 05/19/2015

Phasor Young Won Lim 05/19/2015 Phasor Copyright (c) 2009-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Undersampling (2B) Young Won Lim 4/4/12

Undersampling (2B) Young Won Lim 4/4/12 Undersapling (2) Copyright (c) 2009-2012 Young W. Li. Perission is granted to copy, distribute and/or odify this docuent under the ters of the GNU Free Docuentation License, Version 1.2 or any later version

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Capacitor Young Won Lim 06/22/2017

Capacitor Young Won Lim 06/22/2017 Capacitor Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Expected Value (10D) Young Won Lim 6/12/17

Expected Value (10D) Young Won Lim 6/12/17 Expected Value (10D) Copyright (c) 2017 Young W. Lim. Permissios granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Capacitor and Inductor

Capacitor and Inductor Capacitor and Inductor Copyright (c) 2015 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Capacitor and Inductor

Capacitor and Inductor Capacitor and Inductor Copyright (c) 2015 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Group Velocity and Phase Velocity (1A) Young Won Lim 5/26/12

Group Velocity and Phase Velocity (1A) Young Won Lim 5/26/12 Group Velocity and Phase Velocity (1A) Copyright (c) 211 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Signals and Spectra (1A) Young Won Lim 11/26/12

Signals and Spectra (1A) Young Won Lim 11/26/12 Signals and Spectra (A) Copyright (c) 202 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later

More information

Higher Order ODE's (3A) Young Won Lim 7/7/14

Higher Order ODE's (3A) Young Won Lim 7/7/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Matrix Transformation (2A) Young Won Lim 11/10/12

Matrix Transformation (2A) Young Won Lim 11/10/12 Matrix (A Copyright (c 0 Young W. im. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation icense, Version. or any later version published

More information

Signal Processing. Young Won Lim 2/20/18

Signal Processing. Young Won Lim 2/20/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Higher Order ODE's (3A) Young Won Lim 12/27/15

Higher Order ODE's (3A) Young Won Lim 12/27/15 Higher Order ODE's (3A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09 Detect Sensor (6B) Eddy Current Sensor Copyright (c) 2009 Young W. Lim. Permission is granteo copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

CLTI System Response (4A) Young Won Lim 4/11/15

CLTI System Response (4A) Young Won Lim 4/11/15 CLTI System Response (4A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

CLTI Differential Equations (3A) Young Won Lim 6/4/15

CLTI Differential Equations (3A) Young Won Lim 6/4/15 CLTI Differential Equations (3A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Relations (3A) Young Won Lim 3/27/18

Relations (3A) Young Won Lim 3/27/18 Relations (3A) Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Background Trigonmetry (2A) Young Won Lim 5/5/15

Background Trigonmetry (2A) Young Won Lim 5/5/15 Background Trigonmetry (A) Copyright (c) 014 015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or

More information

DFT Octave Codes (0B) Young Won Lim 4/15/17

DFT Octave Codes (0B) Young Won Lim 4/15/17 Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Background LTI Systems (4A) Young Won Lim 4/20/15

Background LTI Systems (4A) Young Won Lim 4/20/15 Background LTI Systems (4A) Copyright (c) 2014-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

CT Correlation (2A) Young Won Lim 9/9/14

CT Correlation (2A) Young Won Lim 9/9/14 CT Correlation (2A) Copyright (c) 200-204 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later

More information

The Growth of Functions (2A) Young Won Lim 4/6/18

The Growth of Functions (2A) Young Won Lim 4/6/18 Copyright (c) 2015-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

FFT Octave Codes (1B) Young Won Lim 7/6/17

FFT Octave Codes (1B) Young Won Lim 7/6/17 FFT Octave Codes (1B) Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Definitions of the Laplace Transform (1A) Young Won Lim 1/31/15

Definitions of the Laplace Transform (1A) Young Won Lim 1/31/15 Definitions of the Laplace Transform (A) Copyright (c) 24 Young W. Lim. Permission is granted to copy, distriute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Root Locus (2A) Young Won Lim 10/15/14

Root Locus (2A) Young Won Lim 10/15/14 Root Locus (2A Copyright (c 2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Dispersion (3A) 1-D Dispersion. Young W. Lim 10/15/13

Dispersion (3A) 1-D Dispersion. Young W. Lim 10/15/13 1-D Dispersion Copyright (c) 013. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Higher Order ODE's (3A) Young Won Lim 7/8/14

Higher Order ODE's (3A) Young Won Lim 7/8/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Introduction to ODE's (0A) Young Won Lim 3/9/15

Introduction to ODE's (0A) Young Won Lim 3/9/15 Introduction to ODE's (0A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Signal Processing. Young Won Lim 2/22/18

Signal Processing. Young Won Lim 2/22/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

General Vector Space (2A) Young Won Lim 11/4/12

General Vector Space (2A) Young Won Lim 11/4/12 General (2A Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Separable Equations (1A) Young Won Lim 3/24/15

Separable Equations (1A) Young Won Lim 3/24/15 Separable Equations (1A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Audio Signal Generation. Young Won Lim 1/29/18

Audio Signal Generation. Young Won Lim 1/29/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 10/22/12

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 10/22/12 Line Integrals (4A Line Integral Path Independence Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Matrix Transformation (2A) Young Won Lim 11/9/12

Matrix Transformation (2A) Young Won Lim 11/9/12 Matrix (A Copyright (c 01 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

Capacitors in an AC circuit

Capacitors in an AC circuit Capacitors in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Bayes Theorem (10B) Young Won Lim 6/3/17

Bayes Theorem (10B) Young Won Lim 6/3/17 Bayes Theorem (10B) Copyright (c) 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Complex Trigonometric and Hyperbolic Functions (7A)

Complex Trigonometric and Hyperbolic Functions (7A) Complex Trigonometric and Hyperbolic Functions (7A) 07/08/015 Copyright (c) 011-015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect. Young Won Lim 9/23/09

Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect. Young Won Lim 9/23/09 Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect Copyright (c) 2009 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Audio Signal Generation. Young Won Lim 2/2/18

Audio Signal Generation. Young Won Lim 2/2/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Utility Functions Octave Codes (0A) Young Won Lim 1/24/18

Utility Functions Octave Codes (0A) Young Won Lim 1/24/18 Copyright (c) 2009-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Complex Series (3A) Young Won Lim 8/17/13

Complex Series (3A) Young Won Lim 8/17/13 Complex Series (3A) 8/7/3 Copyright (c) 202, 203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or

More information

ODE Background: Differential (1A) Young Won Lim 12/29/15

ODE Background: Differential (1A) Young Won Lim 12/29/15 ODE Background: Differential (1A Copyright (c 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Audio Signal Generation. Young Won Lim 2/2/18

Audio Signal Generation. Young Won Lim 2/2/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Differentiation Rules (2A) Young Won Lim 1/30/16

Differentiation Rules (2A) Young Won Lim 1/30/16 Differentiation Rules (2A) Copyright (c) 2011-2016 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Higher Order ODE's, (3A)

Higher Order ODE's, (3A) Higher Order ODE's, (3A) Initial Value Problems, and Boundary Value Problems Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms

More information

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 11/2/12

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 11/2/12 Line Integrals (4A Line Integral Path Independence Copyright (c 2012 Young W. Lim. Permission is granted to copy, distriute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Bayes Theorem (4A) Young Won Lim 3/5/18

Bayes Theorem (4A) Young Won Lim 3/5/18 Bayes Theorem (4A) Copyright (c) 2017-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Sequential Circuit Timing. Young Won Lim 11/6/15

Sequential Circuit Timing. Young Won Lim 11/6/15 Copyright (c) 2011 2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Linear Equations with Constant Coefficients (2A) Young Won Lim 4/13/15

Linear Equations with Constant Coefficients (2A) Young Won Lim 4/13/15 Linear Equations with Constant Coefficients (2A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

FSM Examples. Young Won Lim 11/6/15

FSM Examples. Young Won Lim 11/6/15 /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version published

More information

General Vector Space (3A) Young Won Lim 11/19/12

General Vector Space (3A) Young Won Lim 11/19/12 General (3A) /9/2 Copyright (c) 22 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version

More information

DESIGN OF CMOS ANALOG INTEGRATED CIRCUITS

DESIGN OF CMOS ANALOG INTEGRATED CIRCUITS DESIGN OF CMOS ANALOG INEGRAED CIRCUIS Franco Maloberti Integrated Microsistems Laboratory University of Pavia Discrete ime Signal Processing F. Maloberti: Design of CMOS Analog Integrated Circuits Discrete

More information

Differentiation Rules (2A) Young Won Lim 2/22/16

Differentiation Rules (2A) Young Won Lim 2/22/16 Differentiation Rules (2A) Copyright (c) 2011-2016 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Problem Value

Problem Value GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL & COMPUTER ENGINEERING FINAL EXAM DATE: 30-Apr-04 COURSE: ECE-2025 NAME: GT #: LAST, FIRST Recitation Section: Circle the date & time when your Recitation

More information

CMOS Inverter. Young Won Lim 3/31/16

CMOS Inverter. Young Won Lim 3/31/16 CMO Inverter Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the NU Free ocumentation License, Version 1.2 or any later version

More information

Complex Functions (1A) Young Won Lim 2/22/14

Complex Functions (1A) Young Won Lim 2/22/14 Complex Functions (1A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Signal Processing. Young Won Lim 3/3/18

Signal Processing. Young Won Lim 3/3/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Signal Processing. Young Won Lim 2/24/18

Signal Processing. Young Won Lim 2/24/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Hamiltonian Cycle (3A) Young Won Lim 5/5/18

Hamiltonian Cycle (3A) Young Won Lim 5/5/18 Hamiltonian Cycle (3A) Copyright (c) 015 018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any

More information

Surface Integrals (6A)

Surface Integrals (6A) Surface Integrals (6A) Surface Integral Stokes' Theorem Copright (c) 2012 Young W. Lim. Permission is granted to cop, distribute and/or modif this document under the terms of the GNU Free Documentation

More information

Problem Value

Problem Value GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL & COMPUTER ENGINEERING FINAL EXAM DATE: 30-Apr-04 COURSE: ECE-2025 NAME: GT #: LAST, FIRST Recitation Section: Circle the date & time when your Recitation

More information

Background ODEs (2A) Young Won Lim 3/7/15

Background ODEs (2A) Young Won Lim 3/7/15 Background ODEs (2A) Copyright (c) 2014-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Grades will be determined by the correctness of your answers (explanations are not required).

Grades will be determined by the correctness of your answers (explanations are not required). 6.00 (Fall 2011) Final Examination December 19, 2011 Name: Kerberos Username: Please circle your section number: Section Time 2 11 am 1 pm 4 2 pm Grades will be determined by the correctness of your answers

More information

Grades will be determined by the correctness of your answers (explanations are not required).

Grades will be determined by the correctness of your answers (explanations are not required). 6.00 (Fall 20) Final Examination December 9, 20 Name: Kerberos Username: Please circle your section number: Section Time 2 am pm 4 2 pm Grades will be determined by the correctness of your answers (explanations

More information

Tutorial Sheet #2 discrete vs. continuous functions, periodicity, sampling

Tutorial Sheet #2 discrete vs. continuous functions, periodicity, sampling 2.39 utorial Sheet #2 discrete vs. continuous functions, periodicity, sampling We will encounter two classes of signals in this class, continuous-signals and discrete-signals. he distinct mathematical

More information

Problem Value

Problem Value GEORGIA INSTITUTE OF TECHNOLOGY SCHOOL of ELECTRICAL & COMPUTER ENGINEERING FINAL EXAM DATE: 2-May-05 COURSE: ECE-2025 NAME: GT #: LAST, FIRST (ex: gtz123a) Recitation Section: Circle the date & time when

More information

Implication (6A) Young Won Lim 3/17/18

Implication (6A) Young Won Lim 3/17/18 Implication (6A) 3/17/18 Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

ECE 301 Fall 2010 Division 2 Homework 10 Solutions. { 1, if 2n t < 2n + 1, for any integer n, x(t) = 0, if 2n 1 t < 2n, for any integer n.

ECE 301 Fall 2010 Division 2 Homework 10 Solutions. { 1, if 2n t < 2n + 1, for any integer n, x(t) = 0, if 2n 1 t < 2n, for any integer n. ECE 3 Fall Division Homework Solutions Problem. Reconstruction of a continuous-time signal from its samples. Consider the following periodic signal, depicted below: {, if n t < n +, for any integer n,

More information

Definitions of the Laplace Transform (1A) Young Won Lim 2/9/15

Definitions of the Laplace Transform (1A) Young Won Lim 2/9/15 Definition of the aplace Tranform (A) 2/9/5 Copyright (c) 24 Young W. im. Permiion i granted to copy, ditriute and/or modify thi document under the term of the GNU Free Documentation icene, Verion.2 or

More information

NAME: ht () 1 2π. Hj0 ( ) dω Find the value of BW for the system having the following impulse response.

NAME: ht () 1 2π. Hj0 ( ) dω Find the value of BW for the system having the following impulse response. University of California at Berkeley Department of Electrical Engineering and Computer Sciences Professor J. M. Kahn, EECS 120, Fall 1998 Final Examination, Wednesday, December 16, 1998, 5-8 pm NAME: 1.

More information

ELEN 4810 Midterm Exam

ELEN 4810 Midterm Exam ELEN 4810 Midterm Exam Wednesday, October 26, 2016, 10:10-11:25 AM. One sheet of handwritten notes is allowed. No electronics of any kind are allowed. Please record your answers in the exam booklet. Raise

More information