Undersampling (2B) Young Won Lim 4/4/12

Size: px
Start display at page:

Download "Undersampling (2B) Young Won Lim 4/4/12"

Transcription

1 Undersapling (2)

2 Copyright (c) Young W. Li. Perission is granted to copy, distribute and/or odify this docuent under the ters of the GNU Free Docuentation License, Version 1.2 or any later version published by the Free Software Foundation; with no Invariant Sections, no Front-Cover Texts, and no ac-cover Texts. A copy of the license is included in the section entitled "GNU Free Docuentation License". Please send corrections (or suggestions) to youngwli@hotail.co. This docuent was produced by using OpenOffice and Octave.

3 and-liited Signal = 5 MHz = 5 MHz = 5 MHz = 5 MHz MHz MHz irror Lowpass Sapling = 5 MHz = 5 MHz andpass Sapling IF filtering Haronic Sapling Sub-Nyquist Sapling MHz Undersapling 3

4 Low-pass Signal Sapling = 5 MHz = 5 MHz MHz MHz Nyquist Criterion + 2 Undersapling 4

5 and-pass Signal Sapling = 5 MHz = 5 MHz MHz MHz irror andpass Sapling IF filtering Haronic Sapling Sub-Nyquist Sapling MHz Nyquist Criterion MHz 2 Undersapling 5

6 Sapling Frequency (1) Assue there are ultiples of Given an integer = Max condition can be decreased according to the following condition without introducing aliasing probles + = (+1) Min condition Given and-pass Signal is characterized by andwidth Carrier Frequency + / Undersapling 6

7 Sapling Frequency (2) ( + 1) Given and-pass Signal is characterized by andwidth 2 ( + 1) 2 f L Carrier Frequency Noralization by = + /2 f L = /2 Highest frequency Lowest frequency + + /2 /2 + f L f L 2 Undersapling 7

8 Exaple =6 (1) When = 6 /2 + in + 7 ax 6 6, ax ax = 6 7, in in = Undersapling 8

9 Exaple =6 (2) = 6 ax = 6 / MHz 1 < 6 1 > 6 / MHz = + 7 in + = 7 + / MHz 2 Undersapling 9

10 Exaple =6 (3) = 6 ax = 6 /2 MHz < 6 MHz = + 7 in + = 7 MHz + /2 2 Undersapling 10

11 Miniu Plot (1) X-Y Plot + /2 = R X highest signal frequency bandwidth Y,in This plot shows in noralized by, for the given bandpass signal that is characterized by R and the given paraeter + ( + 1) =, in iniu sapling rate bandwidth Y Characterized by andwidth R = X Carrier Frequency = + /2 2 Undersapling 11

12 Miniu Plot (2) = + /2 = 1 R = / = 1 X-Y Plot = + /2 = 2 R = / = 2,in Y This plot shows in noralized by, for the given bandpass signal that is characterized by R and the given paraeter = + /2 = 3 R = / = 3 X Characterized by andwidth R = Carrier Frequency = + /2 2 Undersapling 12

13 Miniu Plot (3) g (, R) = 2 (+1) = 2 (+1) R = X + /2 = R = 0 = 1 g (0, R) = 2 R g (1, R) = R slope = 2 slope = 1, in = Y + ( + 1) = 2 ( + 1) = 2 = 3 g (2, R) = 2 R 3 g (3, R) = 1 R 2 slope = 2/3 slope = 1/2 g (, R) = 4 g (4, R) = 2 5 R slope = 2/5 + = 5 g (5, R) = 1 3 R slope = 1/3 = 6 g (6, R) = 2 7 R slope = 2/7 = 7 g (7, R) = 1 4 R slope = 1/ 4 f L f L = 8 g (8, R) = 2 9 R slope = 2/9 2 Undersapling 13

14 Miniu Plot (4) g (, R) = 2 (+1) = 2 (+1) R R = +1 g (, +1) = 2 = 0 g (0, R) = 2 R slope = 2 = 0 R = 1 g (0,1) = 2 = 1 g (1, R) = R slope = 1 = 1 R = 2 g (1, 2) = 2 = 2 g (2, R) = 2 3 R slope = 2/3 = 2 R = 3 g (2, 3) = 2 = 3 g (3, R) = 1 2 R slope = 1/2 = 3 R = 4 g (3, 4) = 2 = 4 g (4, R) = 2 5 R slope = 2/5 = 4 R = 5 g (4,5) = 2 = 5 g (5, R) = 1 3 R slope = 1/3 = 5 R = 6 g (5,6) = 2 = 6 g (6, R) = 2 7 R slope = 2/7 = 6 R = 7 g (6,7) = 2 = 7 g (7, R) = 1 4 R slope = 1/ 4 = 7 R = 8 g (7,8) = 2 = 8 g (8, R) = 2 9 R slope = 2/9 = 8 R = 9 g (8,9) = 2 2 Undersapling 14

15 Miniu Plot (5),in = 1 ( = 0) = 2 ( = 1) = 3 ( = 2) slope = = slope = 1 slope = 2/3 slope = 1/2 slope = 2/5 + ( + 1) =, in = g (, R) iniu sapling rate bandwidth 1 + /2 = R highest signal frequency R = bandwidth 2 Undersapling 15

16 Min, Max Condition on (1) / = ax in ax, ax 2 2 f L f L + f L in, in + = 2 = 3 = 4 2 f L 2 3 f L f L = 1 = 2 = 3 2 Undersapling 16

17 Min, Max Condition on (2) in ax 2 2 f L /2 = ax represents how any are in 2 in ax, ax ax = = 2 f L f L + f L in, in represents how any are in 2 + in in in = + = Undersapling 17

18 Exaple =1 (=0) = 1 ( = 0) = + /2 = 1 R = / = 1,in,ax = 2 = 2 = 2( ) ( 1) = + R [1, 2] (, ) = (2, 4) = 1 ( = 0) = + /2 = 1.5 R = / = 1.5,in = 2 = 3 slope = 2,ax = 2( ) ( 1) = + (, ) = (1, 2) = 1 ( = 0) = + /2 = 2 R = / = 2,in = 2 = 4,ax = 2( ) ( 1) = + 2 Undersapling 18

19 Exaple =2 (=1) = 2 ( = 1) = 2 ( = 1) = + /2 = 2 = + /2 = 2.5 R = / = 2,in,ax = 2 = 2 = 2( ) ( 1) = 2 R = / = 2.5,in,ax = 2 = 2.5 = 2( ) ( 1) = 3 R [2, 3] (3, 4) (, ) = (3, 3) slope = 1 (, ) = (2, 2) = 2 ( = 1) = + /2 = 3 R = / = 3,in = 2 = 3,ax = 2( ) ( 1) = 4 2 Undersapling 19

20 Exaple =3 (=2) = 3 ( = 2) = + /2 = 3 R = / = 3 R [3, 4],in,ax = 2 = 2 = 2( ) ( 1) = 2 = 3 ( = 2) = + /2 = 3.5 R = / = 3.5,in = 2 = 7 3 (3, 4) (, ) = (4, 8 3 ),ax = 2( ) ( 1) = 3 slope = 2 3 (, ) = (3, 2) = 3 ( = 2) = + /2 = 4 R = / = 4,in = 2 = 8 3,ax = 2( ) ( 1) = 4 2 Undersapling 20

21 Min, Max Condition on (2) / = ax in ax, ax 2 2 f L f L + f L in, in + = 2 = 3 = 4 2 f L 2 3 f L f L = 1 = 2 = 3 2 Undersapling 21

22 Min Max Plot (1),in = 1 ( = 0) = 2 ( = 1) = 3 ( = 2) = f L R = 2 Undersapling 22

23 Min Max Plot (2),in = 1 ( = 0) = 2 ( = 1) = 3 ( = 2) f L 4 Min Max 3 = 2 2 f L 2 = f L = 2 = 3 1 [2, 3 ] [, 2( )] [3, 4 ] [ 2 3, ( )] Min y = 1( x 2)+2 y = x = 2 Max y = 2( x 2)+2 y = 2 x R = y = 2 (x 3)+2 3 = 3 y = 2 x 3 y = 1( x 3)+2 y = x 1 2 Undersapling 23

24 Range of when R=4.5, =5 (1) For a given Nyquist Criterion 2 = 20 MHz = 5 MHz in ax Optiu Sapling Frequency = = = 35 = 22.5 MHz (10 ) = = = 17.5 = 17.5 MHz (10 ) = = = = MHz (10 ) = = = 8.75 X = = = 7.0 X 2 Undersapling 24

25 Range of when R=4.5, =5 (2) For a given Nyquist Criterion 2 = 20 MHz = 5 MHz 2 2 f L = +/2 = 22.5 MHz f L = /2 = 17.5 MHz in ax = 2 = 1 2 f L = 3 = f L = 4 = f L = 5 = f L 9.0 X 8.75 = 6 = f L 7.5 Xf s Undersapling 25

26 Range of when R=4.5, =5 (3) When = 6 /2 + in + 7 ax 6 6, ax ax = 6 7, in in = Undersapling 26

27 Range of when R=4.5, =5 (4) =5 =5 2 2 f L in = 2 = 1 ax 22.5 = 2 f L = 35 Optiu = 2 = 2 =22.5 <22.5 = 1 = 1 =35 >35 2 Undersapling 27

28 Range of when R=4.5, =5 (5) =5 =5 2 2 f L in 15.0 = = 3 = 2 ax 2 = f L = 3 = 3 =15.0 <15.0 Optiu = 2 = 2 =17.5 > Undersapling 28

29 Range of when R=4.5, =5 (6) =5 =5 2 2 f L in 11.2 = = 4 = 3 ax 1 = f L Optiu = 4 = 4 =11.2 <11.2 = 3 = 3 =11.67 > Undersapling 29

30 Range of when R=4.5, =5 (7),in = 1 ( = 0) = 2 ( = 1) = 3 ( = 2) = = = =2.33 =2.24 = 2 = = 3 = = 4 = R = = =4.5 2 Undersapling 30

31 Spectral Inversion =5 =5 Optiu = 2 inverted Optiu =22.5 = 2 Optiu = 4 =17.5 = Undersapling 31

32 References [1] [2] J.H. McClellan, et al., Signal Processing First, Pearson Prentice Hall, 2003 [3] A graphical interpretation of the DFT and FFT, by Steve Mann [4] R. G. Lyons, Understanding Digital Signal Processing, 1997

Bandpass Sampling (2B) Young Won Lim 3/27/12

Bandpass Sampling (2B) Young Won Lim 3/27/12 andpass Sapling (2) Copyright (c) 2009-2012 Young W. Li. Perission is granted to copy, distribute and/or odify this docuent under the ters of the GNU Free Docuentation License, Version 1.2 or any later

More information

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10 DFT Frequency (9A) Each ow of the DFT Matrix Copyright (c) 2009, 2010 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GU Free Documentation License,

More information

General CORDIC Description (1A)

General CORDIC Description (1A) General CORDIC Description (1A) Copyright (c) 2010, 2011, 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Up-Sampling (5B) Young Won Lim 11/15/12

Up-Sampling (5B) Young Won Lim 11/15/12 Up-Sampling (5B) Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later version

More information

Down-Sampling (4B) Young Won Lim 10/25/12

Down-Sampling (4B) Young Won Lim 10/25/12 Down-Sampling (4B) /5/ Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later

More information

Down-Sampling (4B) Young Won Lim 11/15/12

Down-Sampling (4B) Young Won Lim 11/15/12 Down-Sampling (B) /5/ Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

CT Rectangular Function Pairs (5B)

CT Rectangular Function Pairs (5B) C Rectangular Function Pairs (5B) Continuous ime Rect Function Pairs Copyright (c) 009-013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

Fourier Analysis Overview (0B)

Fourier Analysis Overview (0B) CTFS: Continuous Time Fourier Series CTFT: Continuous Time Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2009-2016 Young W. Lim. Permission

More information

Signal Functions (0B)

Signal Functions (0B) Signal Functions (0B) Signal Functions Copyright (c) 2009-203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Discrete Time Rect Function(4B)

Discrete Time Rect Function(4B) Discrete Time Rect Function(4B) Discrete Time Rect Functions Copyright (c) 29-213 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Discrete Time Rect Function(4B)

Discrete Time Rect Function(4B) Discrete Time Rect Function(4B) Discrete Time Rect Functions Copyright (c) 29-23 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Capacitor Young Won Lim 06/22/2017

Capacitor Young Won Lim 06/22/2017 Capacitor Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Expected Value (10D) Young Won Lim 6/12/17

Expected Value (10D) Young Won Lim 6/12/17 Expected Value (10D) Copyright (c) 2017 Young W. Lim. Permissios granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Group & Phase Velocities (2A)

Group & Phase Velocities (2A) (2A) 1-D Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Higher Order ODE's (3A) Young Won Lim 12/27/15

Higher Order ODE's (3A) Young Won Lim 12/27/15 Higher Order ODE's (3A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Propagating Wave (1B)

Propagating Wave (1B) Wave (1B) 3-D Wave Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Capacitor and Inductor

Capacitor and Inductor Capacitor and Inductor Copyright (c) 2015 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Higher Order ODE's (3A) Young Won Lim 7/7/14

Higher Order ODE's (3A) Young Won Lim 7/7/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Capacitor and Inductor

Capacitor and Inductor Capacitor and Inductor Copyright (c) 2015 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Phasor Young Won Lim 05/19/2015

Phasor Young Won Lim 05/19/2015 Phasor Copyright (c) 2009-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Group Delay and Phase Delay (1A) Young Won Lim 7/19/12

Group Delay and Phase Delay (1A) Young Won Lim 7/19/12 Group Delay and Phase Delay (A) 7/9/2 Copyright (c) 2 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Matrix Transformation (2A) Young Won Lim 11/10/12

Matrix Transformation (2A) Young Won Lim 11/10/12 Matrix (A Copyright (c 0 Young W. im. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation icense, Version. or any later version published

More information

CLTI Differential Equations (3A) Young Won Lim 6/4/15

CLTI Differential Equations (3A) Young Won Lim 6/4/15 CLTI Differential Equations (3A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

General Vector Space (2A) Young Won Lim 11/4/12

General Vector Space (2A) Young Won Lim 11/4/12 General (2A Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Relations (3A) Young Won Lim 3/27/18

Relations (3A) Young Won Lim 3/27/18 Relations (3A) Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Matrix Transformation (2A) Young Won Lim 11/9/12

Matrix Transformation (2A) Young Won Lim 11/9/12 Matrix (A Copyright (c 01 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

Group Velocity and Phase Velocity (1A) Young Won Lim 5/26/12

Group Velocity and Phase Velocity (1A) Young Won Lim 5/26/12 Group Velocity and Phase Velocity (1A) Copyright (c) 211 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

DFT Octave Codes (0B) Young Won Lim 4/15/17

DFT Octave Codes (0B) Young Won Lim 4/15/17 Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Root Locus (2A) Young Won Lim 10/15/14

Root Locus (2A) Young Won Lim 10/15/14 Root Locus (2A Copyright (c 2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

FFT Octave Codes (1B) Young Won Lim 7/6/17

FFT Octave Codes (1B) Young Won Lim 7/6/17 FFT Octave Codes (1B) Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Background Trigonmetry (2A) Young Won Lim 5/5/15

Background Trigonmetry (2A) Young Won Lim 5/5/15 Background Trigonmetry (A) Copyright (c) 014 015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or

More information

Utility Functions Octave Codes (0A) Young Won Lim 1/24/18

Utility Functions Octave Codes (0A) Young Won Lim 1/24/18 Copyright (c) 2009-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Higher Order ODE's (3A) Young Won Lim 7/8/14

Higher Order ODE's (3A) Young Won Lim 7/8/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Introduction to ODE's (0A) Young Won Lim 3/9/15

Introduction to ODE's (0A) Young Won Lim 3/9/15 Introduction to ODE's (0A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Separable Equations (1A) Young Won Lim 3/24/15

Separable Equations (1A) Young Won Lim 3/24/15 Separable Equations (1A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09 Detect Sensor (6B) Eddy Current Sensor Copyright (c) 2009 Young W. Lim. Permission is granteo copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Complex Trigonometric and Hyperbolic Functions (7A)

Complex Trigonometric and Hyperbolic Functions (7A) Complex Trigonometric and Hyperbolic Functions (7A) 07/08/015 Copyright (c) 011-015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

CT Correlation (2A) Young Won Lim 9/9/14

CT Correlation (2A) Young Won Lim 9/9/14 CT Correlation (2A) Copyright (c) 200-204 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later

More information

Higher Order ODE's, (3A)

Higher Order ODE's, (3A) Higher Order ODE's, (3A) Initial Value Problems, and Boundary Value Problems Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms

More information

Background LTI Systems (4A) Young Won Lim 4/20/15

Background LTI Systems (4A) Young Won Lim 4/20/15 Background LTI Systems (4A) Copyright (c) 2014-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Spectra (2A) Young Won Lim 11/8/12

Spectra (2A) Young Won Lim 11/8/12 Spectra (A) /8/ Copyright (c) 0 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later version

More information

CLTI System Response (4A) Young Won Lim 4/11/15

CLTI System Response (4A) Young Won Lim 4/11/15 CLTI System Response (4A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Dispersion (3A) 1-D Dispersion. Young W. Lim 10/15/13

Dispersion (3A) 1-D Dispersion. Young W. Lim 10/15/13 1-D Dispersion Copyright (c) 013. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Audio Signal Generation. Young Won Lim 1/29/18

Audio Signal Generation. Young Won Lim 1/29/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect. Young Won Lim 9/23/09

Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect. Young Won Lim 9/23/09 Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect Copyright (c) 2009 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Capacitors in an AC circuit

Capacitors in an AC circuit Capacitors in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Complex Series (3A) Young Won Lim 8/17/13

Complex Series (3A) Young Won Lim 8/17/13 Complex Series (3A) 8/7/3 Copyright (c) 202, 203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or

More information

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 10/22/12

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 10/22/12 Line Integrals (4A Line Integral Path Independence Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

The Growth of Functions (2A) Young Won Lim 4/6/18

The Growth of Functions (2A) Young Won Lim 4/6/18 Copyright (c) 2015-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

General Vector Space (3A) Young Won Lim 11/19/12

General Vector Space (3A) Young Won Lim 11/19/12 General (3A) /9/2 Copyright (c) 22 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version

More information

ODE Background: Differential (1A) Young Won Lim 12/29/15

ODE Background: Differential (1A) Young Won Lim 12/29/15 ODE Background: Differential (1A Copyright (c 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Hilbert Inner Product Space (2B) Young Won Lim 2/7/12

Hilbert Inner Product Space (2B) Young Won Lim 2/7/12 Hilbert nner Product Space (2B) Copyright (c) 2009-2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Audio Signal Generation. Young Won Lim 2/2/18

Audio Signal Generation. Young Won Lim 2/2/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Bayes Theorem (10B) Young Won Lim 6/3/17

Bayes Theorem (10B) Young Won Lim 6/3/17 Bayes Theorem (10B) Copyright (c) 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Signal Processing. Young Won Lim 2/20/18

Signal Processing. Young Won Lim 2/20/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Definitions of the Laplace Transform (1A) Young Won Lim 1/31/15

Definitions of the Laplace Transform (1A) Young Won Lim 1/31/15 Definitions of the Laplace Transform (A) Copyright (c) 24 Young W. Lim. Permission is granted to copy, distriute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Surface Integrals (6A)

Surface Integrals (6A) Surface Integrals (6A) Surface Integral Stokes' Theorem Copright (c) 2012 Young W. Lim. Permission is granted to cop, distribute and/or modif this document under the terms of the GNU Free Documentation

More information

Signals and Spectra (1A) Young Won Lim 11/26/12

Signals and Spectra (1A) Young Won Lim 11/26/12 Signals and Spectra (A) Copyright (c) 202 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later

More information

Linear Equations with Constant Coefficients (2A) Young Won Lim 4/13/15

Linear Equations with Constant Coefficients (2A) Young Won Lim 4/13/15 Linear Equations with Constant Coefficients (2A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Introduction to ODE's (0P) Young Won Lim 12/27/14

Introduction to ODE's (0P) Young Won Lim 12/27/14 Introuction to ODE's (0P) Copyright (c) 2011-2014 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Audio Signal Generation. Young Won Lim 2/2/18

Audio Signal Generation. Young Won Lim 2/2/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 11/2/12

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 11/2/12 Line Integrals (4A Line Integral Path Independence Copyright (c 2012 Young W. Lim. Permission is granted to copy, distriute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Sequential Circuit Timing. Young Won Lim 11/6/15

Sequential Circuit Timing. Young Won Lim 11/6/15 Copyright (c) 2011 2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Background ODEs (2A) Young Won Lim 3/7/15

Background ODEs (2A) Young Won Lim 3/7/15 Background ODEs (2A) Copyright (c) 2014-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Second Order ODE's (2A) Young Won Lim 5/5/15

Second Order ODE's (2A) Young Won Lim 5/5/15 Second Order ODE's (2A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

FSM Examples. Young Won Lim 11/6/15

FSM Examples. Young Won Lim 11/6/15 /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version published

More information

Bayes Theorem (4A) Young Won Lim 3/5/18

Bayes Theorem (4A) Young Won Lim 3/5/18 Bayes Theorem (4A) Copyright (c) 2017-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Differentiation Rules (2A) Young Won Lim 1/30/16

Differentiation Rules (2A) Young Won Lim 1/30/16 Differentiation Rules (2A) Copyright (c) 2011-2016 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Background Complex Analysis (1A) Young Won Lim 9/2/14

Background Complex Analysis (1A) Young Won Lim 9/2/14 Background Complex Analsis (1A) Copright (c) 2014 Young W. Lim. Permission is granted to cop, distribute and/or modif this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Hamiltonian Cycle (3A) Young Won Lim 5/5/18

Hamiltonian Cycle (3A) Young Won Lim 5/5/18 Hamiltonian Cycle (3A) Copyright (c) 015 018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any

More information

Signal Processing. Young Won Lim 2/22/18

Signal Processing. Young Won Lim 2/22/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Complex Functions (1A) Young Won Lim 2/22/14

Complex Functions (1A) Young Won Lim 2/22/14 Complex Functions (1A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Implication (6A) Young Won Lim 3/17/18

Implication (6A) Young Won Lim 3/17/18 Implication (6A) 3/17/18 Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Implication (6A) Young Won Lim 3/12/18

Implication (6A) Young Won Lim 3/12/18 (6A) Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Differentiation Rules (2A) Young Won Lim 2/22/16

Differentiation Rules (2A) Young Won Lim 2/22/16 Differentiation Rules (2A) Copyright (c) 2011-2016 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Hyperbolic Functions (1A)

Hyperbolic Functions (1A) Hyperbolic Functions (A) 08/3/04 Copyright (c) 0-04 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or

More information

Surface Integrals (6A)

Surface Integrals (6A) urface Integrals (6A) urface Integral tokes' Theorem Copright (c) 2012 Young W. Lim. Permission is granted to cop, distribute and/or modif this document under the terms of the GNU Free Documentation License,

More information

Probability (10A) Young Won Lim 6/12/17

Probability (10A) Young Won Lim 6/12/17 Probability (10A) Copyright (c) 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Propositional Logic Resolution (6A) Young W. Lim 12/12/16

Propositional Logic Resolution (6A) Young W. Lim 12/12/16 Propositional Logic Resolution (6A) Young W. Lim Copyright (c) 2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Bayes Decision Rule and Naïve Bayes Classifier

Bayes Decision Rule and Naïve Bayes Classifier Bayes Decision Rule and Naïve Bayes Classifier Le Song Machine Learning I CSE 6740, Fall 2013 Gaussian Mixture odel A density odel p(x) ay be ulti-odal: odel it as a ixture of uni-odal distributions (e.g.

More information

CMOS Inverter. Young Won Lim 3/31/16

CMOS Inverter. Young Won Lim 3/31/16 CMO Inverter Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the NU Free ocumentation License, Version 1.2 or any later version

More information

Resolution (7A) Young Won Lim 4/21/18

Resolution (7A) Young Won Lim 4/21/18 (7A) Coyright (c) 215 218 Young W. Lim. Permission is granted to coy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version ublished

More information

Propositional Logic Logical Implication (4A) Young W. Lim 4/11/17

Propositional Logic Logical Implication (4A) Young W. Lim 4/11/17 Propositional Logic Logical Implication (4A) Young W. Lim Copyright (c) 2016-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Propositional Logic Resolution (6A) Young W. Lim 12/31/16

Propositional Logic Resolution (6A) Young W. Lim 12/31/16 Propositional Logic Resolution (6A) Young W. Lim Copyright (c) 2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Least Squares Fitting of Data

Least Squares Fitting of Data Least Squares Fitting of Data David Eberly, Geoetric Tools, Redond WA 98052 https://www.geoetrictools.co/ This work is licensed under the Creative Coons Attribution 4.0 International License. To view a

More information