Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect. Young Won Lim 9/23/09

Size: px
Start display at page:

Download "Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect. Young Won Lim 9/23/09"

Transcription

1 Magnetic Sensor (3B) Magnetism Hall Effect AMR Effect GMR Effect

2 Copyright (c) 2009 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published by the Free Software Foundation; with no Invariant Sections, no Front-Cover Texts, and no Back-Cover Texts. A copy of the license is included in the section entitled "GNU Free Documentation License". Please send corrections (or suggestions) to youngwlim@hotmail.com. This document was produced by using OpenOffice and Octave.

3 Magnetism Ferro-magnetic material Permanent magnet Ferri-magnetic material Below Curie Temp: like ferromagnets Above Curie Temp: like paramagnets Para-magnetic material DC Josephson: I > I c then in the resistiv Optical Sensor 3

4 Hall Effect (1) Transient State I x v x F m Lorentz Force to charges E x Applied external magnetic field charges deflect charges are accumulated F ' m Lorentz Force to charges I x As E H increases, new (+) and ( ) charges are repelled by those previously accumulated charges. (balancing effect) electrical potential (E H ) are created Optical Sensor 4

5 Hall Effect (2) The force Fe counteracts Fm. Steady State F e : due to E H I x F m : due to external magnetic field Again charges travels straight, but with the Hall angle θ. E x E t E H E H v x F m Lorentz Force to charges F e F m : Lorentz Force Optical Sensor 5

6 Hall Effect (3) Transient State Steady State I x v x F m Lorentz Force to charges I x E x E t E x E H E H I x F ' m Lorentz Force to charges F e F m : Lorentz Force Optical Sensor 6

7 Hall Effect Geometric Factor (1) f H = function l w, l 1 w 1 l 2 w 2 l 3 w E H1 E H2 E H3 l 1 l 2 l 3 w 1 w 2 w 3 Optical Sensor 7

8 Hall Effect Geometric Factor (2) F e F m : Lorentz Force w l The force Fe counteracts Fm. F e : due to E H F m : due to external magnetic field More charges are accumulated at the both ends until they repel other new charges. w Optical Sensor 8

9 Physical Magneto-resistance Effect Ideal Case Real Case Semiconductor Different Lorentz Force to each charge Different charges travel different paths The total length of paths is increased The resistance increases slightly Optical Sensor 9

10 Geometrical Magneto-resistance Effect (1) Ideal Case Real Cases Metal Electrode Contact Optical Sensor 10

11 Geometrical Magneto-resistance Effect (2) Min R 1 : Max R 1 ratio R R o = max R 1 min R 1 Min R 2 : Max R 2 ratio R R o = max R 2 min R 2 Optical Sensor 11

12 Magnetic Anisotropy (1) Magneto-crystalline Anisotropy An intrinsic property of a ferri-magnet Magnetization curve along different crystal directions Easy direction Hard direction Intermediate direction Optical Sensor 12

13 Magnetic Anisotropy (2) Easy direction Magnetization Permalloy Resistor : NiFe (ferri-magnet) Optical Sensor 13

14 Permalloy Resistor (1) R max : small current Easy direction : parallel current direction R min : large current : perpendicular current direction Optical Sensor 14

15 Permalloy Resistor (2) Easy direction Fix the direction of current External Magnetic Field changes the magnetization direction of permalloy the resistance the current 45 θ H applied Optical Sensor 15

16 AMR Sensor (1) The current direction is fixed R max : small current : parallel current direction R min : large current : perpendicular current direction = 0 = 90 = 90 Optical Sensor 16

17 AMR Sensor (2) How the current direction is fixed? Shortening Bars Barber Pole Biasing : the shortest path Optical Sensor 17

18 Giant Magneto-resistance Effect Anti-parallel Magnetic Layers Parallel Magnetic Layers Current Magnetic Layer Non-magnetic Conductor Magnetic Layer Current High Interface Scattering : R max Low Interface Scattering : R min Optical Sensor 18

19 GMR Sensor Anti-parallel Magnetic Layers Parallel Magnetic Layers Current External Magnetic Field Change Current High Interface Scattering : R max Low Interface Scattering : R min Optical Sensor 19

20 References [1] [2] Nam Ki Min, Sensor Electronics, Dong-il Press [3] articles

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09

Detect Sensor (6B) Eddy Current Sensor. Young Won Lim 11/19/09 Detect Sensor (6B) Eddy Current Sensor Copyright (c) 2009 Young W. Lim. Permission is granteo copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Expected Value (10D) Young Won Lim 6/12/17

Expected Value (10D) Young Won Lim 6/12/17 Expected Value (10D) Copyright (c) 2017 Young W. Lim. Permissios granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

General CORDIC Description (1A)

General CORDIC Description (1A) General CORDIC Description (1A) Copyright (c) 2010, 2011, 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Relations (3A) Young Won Lim 3/27/18

Relations (3A) Young Won Lim 3/27/18 Relations (3A) Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Higher Order ODE's (3A) Young Won Lim 7/7/14

Higher Order ODE's (3A) Young Won Lim 7/7/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Root Locus (2A) Young Won Lim 10/15/14

Root Locus (2A) Young Won Lim 10/15/14 Root Locus (2A Copyright (c 2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Higher Order ODE's (3A) Young Won Lim 12/27/15

Higher Order ODE's (3A) Young Won Lim 12/27/15 Higher Order ODE's (3A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

CT Rectangular Function Pairs (5B)

CT Rectangular Function Pairs (5B) C Rectangular Function Pairs (5B) Continuous ime Rect Function Pairs Copyright (c) 009-013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU

More information

Matrix Transformation (2A) Young Won Lim 11/10/12

Matrix Transformation (2A) Young Won Lim 11/10/12 Matrix (A Copyright (c 0 Young W. im. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation icense, Version. or any later version published

More information

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10

DFT Frequency (9A) Each Row of the DFT Matrix. Young Won Lim 7/31/10 DFT Frequency (9A) Each ow of the DFT Matrix Copyright (c) 2009, 2010 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GU Free Documentation License,

More information

Background Trigonmetry (2A) Young Won Lim 5/5/15

Background Trigonmetry (2A) Young Won Lim 5/5/15 Background Trigonmetry (A) Copyright (c) 014 015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

General Vector Space (2A) Young Won Lim 11/4/12

General Vector Space (2A) Young Won Lim 11/4/12 General (2A Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Capacitor and Inductor

Capacitor and Inductor Capacitor and Inductor Copyright (c) 2015 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Capacitor and Inductor

Capacitor and Inductor Capacitor and Inductor Copyright (c) 2015 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Matrix Transformation (2A) Young Won Lim 11/9/12

Matrix Transformation (2A) Young Won Lim 11/9/12 Matrix (A Copyright (c 01 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

Group & Phase Velocities (2A)

Group & Phase Velocities (2A) (2A) 1-D Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Higher Order ODE's (3A) Young Won Lim 7/8/14

Higher Order ODE's (3A) Young Won Lim 7/8/14 Higher Order ODE's (3A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Introduction to ODE's (0A) Young Won Lim 3/9/15

Introduction to ODE's (0A) Young Won Lim 3/9/15 Introduction to ODE's (0A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Separable Equations (1A) Young Won Lim 3/24/15

Separable Equations (1A) Young Won Lim 3/24/15 Separable Equations (1A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Signal Functions (0B)

Signal Functions (0B) Signal Functions (0B) Signal Functions Copyright (c) 2009-203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Discrete Time Rect Function(4B)

Discrete Time Rect Function(4B) Discrete Time Rect Function(4B) Discrete Time Rect Functions Copyright (c) 29-213 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Complex Trigonometric and Hyperbolic Functions (7A)

Complex Trigonometric and Hyperbolic Functions (7A) Complex Trigonometric and Hyperbolic Functions (7A) 07/08/015 Copyright (c) 011-015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Fourier Analysis Overview (0A)

Fourier Analysis Overview (0A) CTFS: Fourier Series CTFT: Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute

More information

Propagating Wave (1B)

Propagating Wave (1B) Wave (1B) 3-D Wave Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Capacitor Young Won Lim 06/22/2017

Capacitor Young Won Lim 06/22/2017 Capacitor Copyright (c) 2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Complex Series (3A) Young Won Lim 8/17/13

Complex Series (3A) Young Won Lim 8/17/13 Complex Series (3A) 8/7/3 Copyright (c) 202, 203 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or

More information

Discrete Time Rect Function(4B)

Discrete Time Rect Function(4B) Discrete Time Rect Function(4B) Discrete Time Rect Functions Copyright (c) 29-23 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Dispersion (3A) 1-D Dispersion. Young W. Lim 10/15/13

Dispersion (3A) 1-D Dispersion. Young W. Lim 10/15/13 1-D Dispersion Copyright (c) 013. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any later version published

More information

Fourier Analysis Overview (0B)

Fourier Analysis Overview (0B) CTFS: Continuous Time Fourier Series CTFT: Continuous Time Fourier Transform DTFS: Fourier Series DTFT: Fourier Transform DFT: Discrete Fourier Transform Copyright (c) 2009-2016 Young W. Lim. Permission

More information

The Growth of Functions (2A) Young Won Lim 4/6/18

The Growth of Functions (2A) Young Won Lim 4/6/18 Copyright (c) 2015-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 10/22/12

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 10/22/12 Line Integrals (4A Line Integral Path Independence Copyright (c 2012 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Down-Sampling (4B) Young Won Lim 10/25/12

Down-Sampling (4B) Young Won Lim 10/25/12 Down-Sampling (4B) /5/ Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later

More information

ODE Background: Differential (1A) Young Won Lim 12/29/15

ODE Background: Differential (1A) Young Won Lim 12/29/15 ODE Background: Differential (1A Copyright (c 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Phasor Young Won Lim 05/19/2015

Phasor Young Won Lim 05/19/2015 Phasor Copyright (c) 2009-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Signals and Spectra (1A) Young Won Lim 11/26/12

Signals and Spectra (1A) Young Won Lim 11/26/12 Signals and Spectra (A) Copyright (c) 202 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later

More information

Bayes Theorem (10B) Young Won Lim 6/3/17

Bayes Theorem (10B) Young Won Lim 6/3/17 Bayes Theorem (10B) Copyright (c) 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Down-Sampling (4B) Young Won Lim 11/15/12

Down-Sampling (4B) Young Won Lim 11/15/12 Down-Sampling (B) /5/ Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later

More information

Up-Sampling (5B) Young Won Lim 11/15/12

Up-Sampling (5B) Young Won Lim 11/15/12 Up-Sampling (5B) Copyright (c) 9,, Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later version

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Higher Order ODE's, (3A)

Higher Order ODE's, (3A) Higher Order ODE's, (3A) Initial Value Problems, and Boundary Value Problems Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms

More information

Audio Signal Generation. Young Won Lim 1/29/18

Audio Signal Generation. Young Won Lim 1/29/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Group Velocity and Phase Velocity (1A) Young Won Lim 5/26/12

Group Velocity and Phase Velocity (1A) Young Won Lim 5/26/12 Group Velocity and Phase Velocity (1A) Copyright (c) 211 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Complex Functions (1A) Young Won Lim 2/22/14

Complex Functions (1A) Young Won Lim 2/22/14 Complex Functions (1A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Surface Integrals (6A)

Surface Integrals (6A) Surface Integrals (6A) Surface Integral Stokes' Theorem Copright (c) 2012 Young W. Lim. Permission is granted to cop, distribute and/or modif this document under the terms of the GNU Free Documentation

More information

Linear Equations with Constant Coefficients (2A) Young Won Lim 4/13/15

Linear Equations with Constant Coefficients (2A) Young Won Lim 4/13/15 Linear Equations with Constant Coefficients (2A) Copyright (c) 2011-2014 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

FSM Examples. Young Won Lim 11/6/15

FSM Examples. Young Won Lim 11/6/15 /6/5 Copyright (c) 2 25 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version published

More information

Definitions of the Laplace Transform (1A) Young Won Lim 1/31/15

Definitions of the Laplace Transform (1A) Young Won Lim 1/31/15 Definitions of the Laplace Transform (A) Copyright (c) 24 Young W. Lim. Permission is granted to copy, distriute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

5 Magnetic Sensors Introduction Theory. Applications

5 Magnetic Sensors Introduction Theory. Applications Sensor devices Magnetic sensors Outline 5 Magnetic Sensors Introduction Theory GalvanomagneticG Effects Applications Introduction A magnetic sensor is a transducer that converts a magnetic field into an

More information

Differentiation Rules (2A) Young Won Lim 1/30/16

Differentiation Rules (2A) Young Won Lim 1/30/16 Differentiation Rules (2A) Copyright (c) 2011-2016 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 11/2/12

Line Integrals (4A) Line Integral Path Independence. Young Won Lim 11/2/12 Line Integrals (4A Line Integral Path Independence Copyright (c 2012 Young W. Lim. Permission is granted to copy, distriute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Bayes Theorem (4A) Young Won Lim 3/5/18

Bayes Theorem (4A) Young Won Lim 3/5/18 Bayes Theorem (4A) Copyright (c) 2017-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Digital Signal Octave Codes (0A)

Digital Signal Octave Codes (0A) Digital Signal Periodic Conditions Copyright (c) 2009-207 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

Sequential Circuit Timing. Young Won Lim 11/6/15

Sequential Circuit Timing. Young Won Lim 11/6/15 Copyright (c) 2011 2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Hyperbolic Functions (1A)

Hyperbolic Functions (1A) Hyperbolic Functions (A) 08/3/04 Copyright (c) 0-04 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or

More information

Hamiltonian Cycle (3A) Young Won Lim 5/5/18

Hamiltonian Cycle (3A) Young Won Lim 5/5/18 Hamiltonian Cycle (3A) Copyright (c) 015 018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1. or any

More information

Group Delay and Phase Delay (1A) Young Won Lim 7/19/12

Group Delay and Phase Delay (1A) Young Won Lim 7/19/12 Group Delay and Phase Delay (A) 7/9/2 Copyright (c) 2 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2

More information

General Vector Space (3A) Young Won Lim 11/19/12

General Vector Space (3A) Young Won Lim 11/19/12 General (3A) /9/2 Copyright (c) 22 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later version

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Implication (6A) Young Won Lim 3/17/18

Implication (6A) Young Won Lim 3/17/18 Implication (6A) 3/17/18 Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Capacitors in an AC circuit

Capacitors in an AC circuit Capacitors in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Audio Signal Generation. Young Won Lim 2/2/18

Audio Signal Generation. Young Won Lim 2/2/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Bandpass Sampling (2B) Young Won Lim 3/27/12

Bandpass Sampling (2B) Young Won Lim 3/27/12 andpass Sapling (2) Copyright (c) 2009-2012 Young W. Li. Perission is granted to copy, distribute and/or odify this docuent under the ters of the GNU Free Docuentation License, Version 1.2 or any later

More information

CMOS Inverter. Young Won Lim 3/31/16

CMOS Inverter. Young Won Lim 3/31/16 CMO Inverter Copyright (c) 2011-2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the NU Free ocumentation License, Version 1.2 or any later version

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Introduction to ODE's (0P) Young Won Lim 12/27/14

Introduction to ODE's (0P) Young Won Lim 12/27/14 Introuction to ODE's (0P) Copyright (c) 2011-2014 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Capacitor in an AC circuit

Capacitor in an AC circuit Capacitor in an AC circuit Copyright (c) 2011 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

CT Correlation (2A) Young Won Lim 9/9/14

CT Correlation (2A) Young Won Lim 9/9/14 CT Correlation (2A) Copyright (c) 200-204 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version.2 or any later

More information

Background ODEs (2A) Young Won Lim 3/7/15

Background ODEs (2A) Young Won Lim 3/7/15 Background ODEs (2A) Copyright (c) 2014-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Resolution (7A) Young Won Lim 4/21/18

Resolution (7A) Young Won Lim 4/21/18 (7A) Coyright (c) 215 218 Young W. Lim. Permission is granted to coy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version ublished

More information

Background LTI Systems (4A) Young Won Lim 4/20/15

Background LTI Systems (4A) Young Won Lim 4/20/15 Background LTI Systems (4A) Copyright (c) 2014-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

CLTI Differential Equations (3A) Young Won Lim 6/4/15

CLTI Differential Equations (3A) Young Won Lim 6/4/15 CLTI Differential Equations (3A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Propositional Logic Resolution (6A) Young W. Lim 12/12/16

Propositional Logic Resolution (6A) Young W. Lim 12/12/16 Propositional Logic Resolution (6A) Young W. Lim Copyright (c) 2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

Audio Signal Generation. Young Won Lim 2/2/18

Audio Signal Generation. Young Won Lim 2/2/18 Generation Copyright (c) 2016-2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

CLTI System Response (4A) Young Won Lim 4/11/15

CLTI System Response (4A) Young Won Lim 4/11/15 CLTI System Response (4A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2

More information

Undersampling (2B) Young Won Lim 4/4/12

Undersampling (2B) Young Won Lim 4/4/12 Undersapling (2) Copyright (c) 2009-2012 Young W. Li. Perission is granted to copy, distribute and/or odify this docuent under the ters of the GNU Free Docuentation License, Version 1.2 or any later version

More information

PHYSICS 3204 PUBLIC EXAM QUESTIONS (Magnetism &Electromagnetism)

PHYSICS 3204 PUBLIC EXAM QUESTIONS (Magnetism &Electromagnetism) PHYSICS 3204 PUBLIC EXAM QUESTIONS (Magnetism &Electromagnetism) NAME: August 2009---------------------------------------------------------------------------------------------------------------------------------

More information

Surface Integrals (6A)

Surface Integrals (6A) urface Integrals (6A) urface Integral tokes' Theorem Copright (c) 2012 Young W. Lim. Permission is granted to cop, distribute and/or modif this document under the terms of the GNU Free Documentation License,

More information

Propositional Logic Logical Implication (4A) Young W. Lim 4/11/17

Propositional Logic Logical Implication (4A) Young W. Lim 4/11/17 Propositional Logic Logical Implication (4A) Young W. Lim Copyright (c) 2016-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Differentiation Rules (2A) Young Won Lim 2/22/16

Differentiation Rules (2A) Young Won Lim 2/22/16 Differentiation Rules (2A) Copyright (c) 2011-2016 Young W. Lim. Permission is grante to copy, istribute an/or moify this ocument uner the terms of the GNU Free Documentation License, Version 1.2 or any

More information

Magnetic field and magnetic poles

Magnetic field and magnetic poles Magnetic field and magnetic poles Magnetic Field B is analogically similar to Electric Field E Electric charges (+ and -)are in analogy to magnetic poles(north:n and South:S). Paramagnetism, Diamagnetism,

More information

Propositional Logic Resolution (6A) Young W. Lim 12/31/16

Propositional Logic Resolution (6A) Young W. Lim 12/31/16 Propositional Logic Resolution (6A) Young W. Lim Copyright (c) 2016 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License,

More information

From Hall Effect to TMR

From Hall Effect to TMR From Hall Effect to TMR 1 Abstract This paper compares the century old Hall effect technology to xmr technologies, specifically TMR (Tunnel Magneto-Resistance) from Crocus Technology. It covers the various

More information

Implication (6A) Young Won Lim 3/12/18

Implication (6A) Young Won Lim 3/12/18 (6A) Copyright (c) 2015 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version

More information

Probability (10A) Young Won Lim 6/12/17

Probability (10A) Young Won Lim 6/12/17 Probability (10A) Copyright (c) 2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later

More information

Signal Processing. Young Won Lim 2/20/18

Signal Processing. Young Won Lim 2/20/18 Copyright (c) 2016 2018 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Propositional Logic Logical Implication (4A) Young W. Lim 4/21/17

Propositional Logic Logical Implication (4A) Young W. Lim 4/21/17 Propositional Logic Logical Implication (4A) Young W. Lim Copyright (c) 2016-2017 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation

More information

Second Order ODE's (2A) Young Won Lim 5/5/15

Second Order ODE's (2A) Young Won Lim 5/5/15 Second Order ODE's (2A) Copyright (c) 2011-2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Hilbert Inner Product Space (2B) Young Won Lim 2/7/12

Hilbert Inner Product Space (2B) Young Won Lim 2/7/12 Hilbert nner Product Space (2B) Copyright (c) 2009-2011 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version

More information

Winmeen Tnpsc Group 1 & 2 Self Preparation Course Physics UNIT 10. Magnetism

Winmeen Tnpsc Group 1 & 2 Self Preparation Course Physics UNIT 10. Magnetism Physics UNIT 10 Magnetism The word magnetism is derived from iron ore magnetite (Fe3O4), which was found in the island of magnesia in Greece. It was Gilbert who laid the foundation for magnetism and had

More information

Background Complex Analysis (1A) Young Won Lim 9/2/14

Background Complex Analysis (1A) Young Won Lim 9/2/14 Background Complex Analsis (1A) Copright (c) 2014 Young W. Lim. Permission is granted to cop, distribute and/or modif this document under the terms of the GNU Free Documentation License, Version 1.2 or

More information

Spectra (2A) Young Won Lim 11/8/12

Spectra (2A) Young Won Lim 11/8/12 Spectra (A) /8/ Copyright (c) 0 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version. or any later version

More information