EUV lithography industrialization for HVM

Similar documents
EUV Lithography Towards Industrialization

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

EUVL Readiness for High Volume Manufacturing

Progress on ASML s EUV Alpha Demo Tool

EUV Lithography Status and Key Challenges for HVM Implementation

Overview of EUV Lithography and EUV Optics Contamination

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

EUV lithography and Source Technology

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

193 nm STEP AND SCAN LITHOGRAPHY

Evaluation at the intermediate focus for EUV Light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Critical Dimension Uniformity using Reticle Inspection Tool

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

EUREKA: A new Industry EUV Research Center at LBNL

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

EUVL for HVM: Progress Update

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

Actinic review of EUV masks: First results from the AIMS EUV system integration

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Status of EUV Sources for Mask Metrology

Interactions of 3D mask effects and NA in EUV lithography

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Chromeless Phase Lithography (CPL)

Current development status of Shin-Etsu EUV pellicle

IEUVI Mask Technical Working Group

Resist material for negative tone development process

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Measurement of EUV scattering from Mo/Si multilayer mirrors

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Analysis of carbon contamination on EUV mask using CSM/ ICS

Progress in LPP EUV Source Development by Japan MEXT Project

ASML Approach to Euv Reticle Handling

Lecture 14 Advanced Photolithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Photolithography II ( Part 1 )

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Figure 1 below shows the generic process flow of an LELE method of double patterning.

NSR-2205i14E (6" Reticle Type)

A short pulsed laser cleaning system for EUVL tool

Resist-outgas testing and EUV optics contamination at NIST

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

MICRO AND NANOPROCESSING TECHNOLOGIES

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY

Cost of Ownership Considerations for Maskless Lithography

Litho scenario solutions for FinFET SRAM 22nm node

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

The Waferstepper Challenge: Innovation and Reliability despite Complexity

XBC300 Gen2. Fully-automated debonder and Cleaner

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Cost Implications of EUV Lithography Technology Decisions

EUV-Technology with Discharge EUV-Lamp"

Overview European EUVL programme

Characterization of Optical Proximity Correction Features

Soft X - Ray Optics: Fundamentals and Applications

Efficient EUV source by use of a micro-target containing tin nanoparticles

Impact of Pellicle on Overlay in Double Patterning Lithography

Laser Based Diagnostics for Measuring H - Beam Parameters

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

High Optical Density Photomasks For Large Exposure Applications

High Brightness EUV Light Source for Actinic Inspection & Microscopy

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM

Sensors and Metrology. Outline

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

RS-C Flexible Reticle Stocker

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

High-resolution EUV Microstepper tool for resist testing & technology evaluation

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Behavior of candidate organic pellicle materials under 157 nm laser irradiation

EUVL Optics lifetime and contamination. European Update

Transcription:

EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo

Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

EUV development has progressed over 30 years from NGL to HVM insertion Slide 3 1 st lithography (LLNL, Bell Labs, Japan) ASML starts EUVL research program ASML ships 2 alpha demo tools: IMEC (Belgium) and CNSE (USA) ASML ships 1 st pre-production NA 0.25 system NXE:3100 ASML ships 1 st NA 0.33 system NXE:3300B ASML ships 1 st HVM NA0.33 system NXE:3400B 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 USA USA NL NL NL Japan USA NL NL NL NL 5 mm 160 nm 80 nm 70 nm L&S 40 nm hp 28 nm Lines and spaces 19 nm Lines and spaces 13 nm L/S 7 nm and 5 nm node structures

~1.6M wafers exposed on NXE:3xy0B at customer sites Currently 15 systems running in the field. First system was shipped Q1 2013 2018 Slide 4 2017 2015 2016

EUV extension roadmap introduction 55 WPH 125 WPH 145 WPH 185 WPH Overlay [nm] Slide 5 2013 NXE:3300B 7 2015 NXE:3350B 3.5 2017 NXE:3400B 3 NXE:next <3 High NA <2 products under study

Outline Slide 6 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

NXE:3400B: 13 nm resolution at full productivity Supporting 5 nm logic, <15 nm DRAM requirements Slide 7 Reticle Stage Improved clamp flatness for focus and overlay Projection Optics Continuously Improved aberration performance New Flex-illuminator Sigma 1.0 outer sigma, reduced PFR (0.20) Resolution Full wafer CDU DCO 13 nm < 1.1 nm < 1.4 nm Overlay set up Set-up and modeling improvements MMO Focus control Productivity < 2.0 nm < 60 nm 125 WPH 125WPH Reduced overhead Improved source power Wafer Stage Flatter clamps, improved dynamics and stability Overlay Imaging/Focus Productivity

3400B illuminator: increased pupil flexibility at full throughput Slide 8 Tachyon NXE: 3300 NXE: 3400 Pupil filling improvement from 3300 to 3400 with approximately 20% higher transmission or 10% higher throughput

rms [nm] NCE [nm] Evolutionary improvements in EUV optics enabling 7 nm and 5 nm nodes for imaging, focus and overlay Slide 9 Entire 3xy0 population shows wavefront improvements 0.4 wavefront rms 1.0 lens distortion NCE (Z2/3) 0.2 0.5 NXE:3300B NXE:3350B NXE:3400B NXE:3300B NXE:3350B NXE:3400B 0.0 0.0 systems systems Source: Carl Zeiss SMT AG

16 nm IS small-conventional 13 nm LS leafshape dipole 13 nm LS and 16 nm IS: full-wafer CDU 0.3 nm meets 5 nm logic requirements, with excellent process windows Slide 10 1.0 0.8 0.6 0.4 0.2 Inpria YA series Dose 34 mj, LWR 3.8 nm DOF 160 nm, EL 20.7% Intrafield CDU Full wafer CDU 0.0 A B C D E F G 1.0 0.8 0.6 0.4 0.2 CAR EUVJ-4051 Dose 58 mj, LWR 3.2 nm DOF 140 nm, EL 18.7% Intrafield CDU Full wafer CDU 0.0 A B C D E F G

Delta PBA [nm] Proximity matching 3400-3350 well within specification Tool-to-tool matching is precondition to HVM Slide 11 0.4 0.3 0.2 0.1 0-0.1-0.2-0.3 3350-3350 3350-3400 3400-3400 16 nm PBR 16 nm PBA Test item Proximity bias range, 5 pitches, uniformity in slit, 16 nm spaces Proximity bias average, 5 pitches, 16 nm spaces Unit Actual nm 0.4 nm 0.3-0.4 P32-H P37-H P42-H P48-H P64-H P112-H P42-V P48-V P64-V P112-V -0.5 Pitch & orientation Higher flexibility of 3400 illuminator can be used to mimic all NXE:3350 settings Plus, throughput loss on NXE:3350 will be recovered for aggressive illumination modes Minor differences in lens optics are not significant factors in matching

1.9 nm Matched overlay NXT:2000i to NXE:3400B Champion data including lens fingerprint correction on NXT Slide 12 NXT:2000i NXE:3400B full wafer Results per wafer NXT:2000 NXE:3400B Setup done with new reference wafers (4.2) NXT2000i layer exposed with pellicle NXT (average population) lens fingerprint correction via Reticle Writing Correction simulated (1.9,1.9) nm OVL (X,Y) NXE at max scan speed (300mm/s) Overlay measured to reference (MMO) NXT:2000 MMO NXE:3400 MMO NXT to NXE matching 1.8,1.6 nm 1.2,1.3 nm 1.9,1.9 nm

Focus Uniformity and focus stability [nm] Multiple machines show < 6 nm focus uniformity Slide 13 12.0 Specification uniformity and stability Focus Focus Uniformity uniformity 6 nm across wafer focus variation 10.0 8.0 Focus Uniformity Focus stability 3 day 6.0 4.0 2.0 0.0 A B C D E F G H NXE:3400B

Outline Slide 14 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

Two-fold approach to eliminate reticle front-side defects 1. Clean system (without pellicle) 2. EUV pellicle EUV Reticle (13.5nm) Reticle Slide 15 pellicle Reflected illumination particle Reticle Reticle with pellicle

1. Clean system Reticle front-side defectivity - Improvement categories Slide 16 Avoid that particles in scanner reach critical areas Clean environment and reticle handling Avoid particle generation in scanner

Defects a.u. 1. Clean system 4x improvement for reticle defectivity Scanner in-situ cleaning and hydrogen curtains provide ~4x improvement Flushing, POD improvements and shielded reticle clamp Slide 17 HVM

# of defects 2. EUV pellicle Today: Pellicle film produced without defects that print Slide 18 Defect size in um > 40 > 25-40 > 10-25 Zero defects Improvement from Q3 2016 to now

Source power @ if (W) 2. EUV pellicle ASML pellicle capability confirmed to at least 140W Slide 19 160 140 120 100 80 60 40 20 Pellicle removed intact from scanner for analysis Defectivity wafers analyzed: zero defect adders! Enhanced cooling enables >200W usage Defects analyzed at seven instances during marathon run: zero adders 0 0 500 1000 1500 2000 2500 3000 3500 4000 4500 5000 Wafer number 22 nm Patterned Defect reticle exposed on NXE:3400B

EUV: Principles of Generation Slide 20 2017 SW

Laser Produced Plasma Density and Temperature Nishihara et al. (2008) Ion density ~ 10 17 10 18 #/cm 3 Temperature ~ 30-100 ev Slide 21 2017 SW EUV LPP

Fundamentals: EUV Generation in LPP Laser produced plasma (LPP) as an EUV emitter Slide 22 EUV 2017 SW 30 micron diameter tin droplet tin ions EUV Focused Laser light EUV electrons Dense hot Plasma ejecta microparticles tin vapor EUV 1. High power laser interacts with liquid tin producing a plasma. 2. Plasma is heated to high temperatures creating EUV radiation. 3. Radiation is collected and used to pattern wafers. Tin Laser Produced Plasma Image

Power (arb. Units) 500μm Plasma simulation capabilities Main-pulse modeling using HYDRA Slide 23 2017 SW Main-pulse shape 1D: real pulse shape 1D simulations are fast and useful for problems that require rapid feedback and less accuracy Electron density (top half) with laser light (bottom half) 2D: + symmeterized beam profile Time 500μm 500μm 500μm 3D: + real asymmetric profile Sn target using a real irradiance distribution Target 2D and 3D simulations are run for the full duration of the Main pulse. Results include temperature, electron density, spectral emission, etc.

Conversion Efficiency (%) Fluence (J/cm2/S/mm) Simulation of the EUV source The plasma code s outputs were processed to produce synthetic source data. The comparison to experiments helps to validate the code and understand it s accuracy. Reflected laser modeling Collector rim Emission and debris anisotropy Slide 24 2017 SW Conversion Efficiency Simulated EUV spectra Measured Shadowgrams Simulation Simulated Shadowgrams Target Diameter (µm) Wavelength (nm)

Dose controlled EUV power (W) Summary: Path from Technology development with PPIM/MPIM to Industrialized module Slide 25 Technology Development SD Proto: Manufactured and stand-alone test in San Diego 250W achieved 250 System 225 Integration 200 175 150 125 100 75 50 25 0 EUV Power History Shipment in 2017 Research Shipped 08 09 10 11 12 13 14 15 16 17 18 Year Data: Wk1720 250W with 99% die yield measured

Dose controlled EUV power (W) Progress for 2017: 250W demonstrated EUV power (source/scanner interface, [W]) CO 2 power [W] * Conversion Efficiency [%] * 1 Dose Overhead [%] Slide 26 2017 SW 250 System 225 Integration 200 175 150 125 100 75 50 25 Shipment in 2017 Research Shipped >250W is now demonstrated, shipping planned end of 2017 Increase average and peak laser power Enhanced isolation technology Advanced target formation technology Improved dose-control technique 0 08 09 10 11 12 13 14 15 16 17 18 Year

EUV Source operation at 250W with 99.9% fields meeting dose spec Slide 27 2017 SW Operation Parameters Repetition Rate 50kHz MP power on droplet 21.5kW Conversion Efficiency 6.0% Collector Reflectivity 41% Dose Margin 10% EUV Power 250 W Open Loop Performance Baseline Improved Isolation

Throughput [Wafers per hour] NXE productivity above 125 wafers per hour NXE:3400B, 126 WPH at 207W using proto version Seed table Isolation Module 130 Slide 28 120 110 100 90 80 70 NXE:3400B ASML factory (proto) 60 NXE:3400B at customers 50 40 30 20 10 NXE:3300B at customers NXE:3350B ASML factory NXE:3350B at customers NXE:3400B ASML factory 0 2014 Q1 2014 Q2 2014 Q3 2014 Q4 2015 Q3 2015 Q4 2016 Q2 2016 Q4 2017 Q1 2017 Q3 2017 Q3 NXE:3400B ATP test: 26x33mm2, 96 fields, 20mJ/cm2

Productivity increases via source availability Secured EUV power is matched with increasing availability Productivity = Throughput( EUV Power) Availability Slide 29 2017 SW EUV Power= (CO 2 laser power CE transmission)*(1-dose overhead) Raw EUV power Source power from 10 W to > 250 W Drive laser power Conversion efficiency (CE) from 20 to >20kW from 2 to 6% (Sn droplet) Dose overhead from 50 to 10% Optical transmission Source availability Automation Collector protection Droplet generator reliability & lifetime Drive laser reliability

Conversion Efficiency (%) Conversion efficiency (%) Enhanced isolation leads to >205W EUV power via advanced target formation for high CE Slide 30 2017 SW 6 5 4 3 2 3100 NOMO (shipped) 3100 MOPA (research) 3100 MOPA+PP (research) 3300 MOPA+PP (shipped) 3400 MOPA+PP (research) 3400 MOPA+PP (Shipping 2017) Introduction of prepulse Advanced target formation with enhanced isolation 7 6 5 4 3 2 1 0 Conversion Efficiency vs target type 1 0 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 Year

Histogram Histogram Dose overhead required to meet dose spec Enhanced isolation improves EUV performance Benefits of enhanced isolation: Higher, stable CO 2 laser power lower dose overhead High conversion efficiency operation higher pulse energy Slide 31 2017 SW Open Loop Performance >30% Before enhanced With enhanced isolation isolation ~30% 30% 3.9mJ 5.1mJ Reduced Sigma 20% <10.5% 10% Without enhanced isolation With enhanced isolation

Collector protection secured up to 250 W Collector protection demonstrated on research tool Slide 32 2017 SW

EUV at IF (mj) Research progress in EUV source Demonstrated EUV pulse energy of 7.5mJ 375W in-burst at 50kHz 9 8 7 400W research platform Slide 33 2017 SW Average of 800 sequential bursts 6 5 4 3 2 1 0 3400 EUV source discussed in this presentation Short burst EUV demonstration on research platform Main pulse peak power at plasma (MW)

Outline Slide 34 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

High-NA optics design available Larger elements with tighter specifications Reticle level Extreme aspheres enabling further improved wavefront / imaging performance Slide 35 Tight surface specifications enabling low straylight / high contrast imaging Big last mirror driven by High NA Obscuration enables higher optics transmission Wafer level NA 0.25 NA 0.33 NA >0.5 Design examples Source: Zeiss, EUV lithography optics for sub-9 nm resolution, Proc. SPIE 9422, (2015).

Anamorphic High NA EUV reduces the angles Enabling a solution with 26 mm slit on 6 masks Reticle Reticle layout compatible with today 6 mask production Projection with 0.33 NA Mag X: 4x Mag Y: 4x Slide 36 132 mm x 104 mm y 6 mask x 33 mm 26 mm y Source: Jan van Schoot, ASML, EUV roadmap extension by higher NA, 2016 international symposium on EUV, 24 Oct 2016, Hiroshima Wafer

Anamorphic High NA EUV reduces the angles Enabling a solution with 26 mm slit on 6 masks Reticle Reticle layout compatible with today 6 mask production Projection with >0.5 NA Mag X: 4x Mag Y: 4x Slide 37 132 mm x 104 mm y 6 mask x 33 mm 26 mm y Large angles result in low contrast Source: Jan van Schoot, ASML, EUV roadmap extension by higher NA, 2016 international symposium on EUV, 24 Oct 2016, Hiroshima Wafer

Anamorphic High NA EUV reduces the angles Enabling a solution with 26 mm slit on 6 masks Reticle Reticle layout compatible with today 6 mask production Projection with >0.5 NA Mag X: 4x Mag Y: 8x Slide 38 132 mm x y 104 mm 6 mask x 16,5 mm y 26 mm Source: Jan van Schoot, ASML, EUV roadmap extension by higher NA, 2016 international symposium on EUV, 24 Oct 2016, Hiroshima Wafer

Reflectivity [%] Anamorphic magnification solves the problem at the mask 70% Multilayer Reflectivity Slide 39 60% 50% 40% 0.33NA Mag 4x X Y 30% 20% 10% 0% 0.55NA Mag 4x/8x Y - 8x Y - 4x X 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 Angle of incidence on the mask [deg] Multilayer

New CAR Resists: towards 16nm resolution at full throughput 21 mj/cm 2 achieved with good performance; Z-factor improved by 25% Slide 40 > Reference CAR1 CAR2 CAR3 SEM image @BE/BF Dose2Size [mj/cm 2 ] 42.2 26.3 20.9 20.1 LWR [nm] 4.3 4.8 5.5 5.5 EL LQD [%] 16.5 14.3 14.1 9.1 DOF [nm] 140 115 90 50 Z-factor [mj/cm 2 * nm 3 ] 1.6E-08 1.2E-08 1.3E-08 1.3E-08 * Exposures performed on NXE:3xy0 with Dip90Y illumination

Conclusion Significant progress has been made in all key areas towards insertion in HVM Slide 41 >125 WPH demonstrated on NXE:3400B EUV lithographic performance results confirmed: Imaging CDU 0.4 nm NXT to NXE overlay matching 1.9 nm Roadmap exists to continue to scale productivity