PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM

Size: px
Start display at page:

Download "PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM"

Transcription

1 PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie 100W EUV light-source key component technology update for HVM Tsukasa Hori, Yasufumi Kawasuji, Hiroshi Tanaka, Yukio Watanabe, Yutaka Shiraishi, et al.

2 100W EUV light source key component technology update for HVM Tsukasa Hori, Yasufumi Kawasuji, Hiroshi Tanaka, Yukio Watanabe, Yutaka Shiraishi, Tamotsu Abe, Takeshi Okamoto, Takeshi Kodama, Hiroaki Nakarai, Taku Yamazaki, Shinji Okazaki, Takashi Saitou and Hakaru Mizoguchi Gigaphoton Inc., Shinomiya, Hiratsuka-shi, Kanagawa, , Japan ABSTRACT Gigaphoton Inc. develops a high-power laser produced plasma extreme ultraviolet (LPP EUV) light source for high volume manufacturing which enables sub-10nm critical layer patterning for semiconductor device fabrication. A technology update of key components of a 100 W LPP-EUV light source is given in this paper. The key components efficiently produce a stable plasma and evacuate the tin debris from the EUV vessel with a magnetic debris mitigation system. The chosen technology guarantees therefore a high-power and long-life EUV light source system. Each component is described with updated data. The latest system performance results are also presented. They were obtained from our proto LPP-EUV light systems which support 100 W output power Keywords: EUV light source, EUV lithography, Laser Produced Plasma, Tin, CO 2 laser, Droplet generator, Collector mirror, Debris mitigation, magnetic field 1. INTRODUCTION Lithography is one of the keys for semiconductor device manufacturing. A lithographic apparatus images a pattern onto a substrate via light illumination. Additional processes transform that pattern to an electrical circuit pattern. Every year circuit dimensions are getting smaller to enable advanced device production with higher integration. Hence, a lithographic process is required to make smaller pattern with the progress of device specification. Optical resolution is generally given by the Rayleigh equation, Resolution = k1 lambda / NA. Where k1 is the k1factor, lambda is the light source wavelength and NA is the system numerical aperture. Thus there are three ways to improve the illuminator resolution, namely, making a smaller circuit pattern. Many efforts have been done to improve those three factors for advanced devise production. ArF immersion lithography 1) for high NA, >1, is a typical innovative breakthrough in order to realize a smaller pattern feature dimension. The optical source for advanced lithography is expected to generate a shorter wavelength for higher resolution following Rayleigh s equation. Excimer lasers, at present used for the conventional lithography process, generate deep ultraviolet light, with a wavelength of 248 nm for KrF laser and 193 nm by for ArF laser. A wavelength of ~10 nm, called extreme ultraviolet, EUV, was selected as next generation lithography light source. The resolution improves therefore tenfold compared with conventional lithography light sources. EUV sources need high energy excitation to be generated because of its large photon energy (90eV). Radiation from energetic electrons is a most typical EUV light generation method, synchrotron radiation (SR) is used as photon source for many applications needing strong light. Electron radiation based light generators can generate light with shorter wavelength from soft x-ray to hard x-ray in high power and intensity, but require huge utilities and can generate a wide wavelength band. The EUV generation by a plasma from specific target material, on the other hand, can generate the desired wavelength region effectively. This type of EUV generator can generate EUV light inside a limited wavelength band depending on the material characteristics, and requires a smaller size, and less utility compared to the electron radiation type. There are several plasma excitation methods for EUV generation. Major methods of plasma excitation are electrical discharge, so-called discharge produced plasma, DPP 2) and laser produced plasma, LPP. DPPs have been widely used as light sources, for instance the mercury lamp for lithography, because of its reliability and simple structure. 3) As a EUV light source, however, DPP is not suitable in some respects. The electrode structure is one issue to efficiently generate EUV light. For the discharge electrodes must be set at both sides of the plasma, and they limit the EUV collection efficiency from the isotropic EUV emission of the plasma. The electrode material selection is also an issue as EUV light source. High energy concentration is needed for EUV generation, which means that a large discharge current is needed, so the heat load to the electrode increases with increasing EUV power. Whereas DPP could solve these issues 4), DPP has due to its generation principle limitations with respect to future power scalability. LPP, on the other hand, has no critical Extreme Ultraviolet (EUV) Lithography VII, edited by Eric M. Panning, Kenneth A. Goldberg. Proc. of SPIE Vol. 9776, SPIE CCC code: X/16/$18 doi: / Proc. of SPIE Vol

3 issues on its generation principle. The drive laser can concentrate its energy to a volume size with dimensions of its wavelength, which means that high energy excitation and small optical source size are possible. LPP also does not require any adjacent structure around the plasma, so the EUV emission from the plasma can be collected efficiently. Therefore, LPP is regarded as the next generation EUV light source for lithography, though LPP has some engineering issues to be solved. Gigaphoton Inc. has been developing the EUV light source for semiconductor device manufacturing with LPP methods since ) EUV light, its wavelength is 13.5 nm, is generated from tin plasma which is produced from small tin liquid droplets supplied to the plasma point located in the middle of the light source vessel and energized (heated) by very high power carbon dioxide (CO 2 ) laser irradiation. Engineering difficulties of LPP with tin are the realization of stable and efficient long-term operation. Tin fragment remain in the light source vessel after EUV emission and deposit on the EUV collector mirror surface resulting in power degradation due to EUV mirror reflectivity loss. A tin particle guiding system and hydrogen flow system, for example, can mitigate that reflectivity loss. Stable plasma generation with good drive laser shooting and tin droplet trajectory control can minimize the amount of large tin particles, and higher efficiency leads to minimal tin in the vessel contributing to stable and long-life operation. Several lithography systems with LPP light source have already been set-up and are being operated in advanced factories 10). At these sites trial production with 40 ~ 80 W is demonstrated. This status proofs that EUV lithography is promising to be the main stream for the next generation method supporting semiconductor manufacturing. But the EUV output power is still much smaller than the power requested for mass production. A EUV power of 250 W is necessary to realize reasonable cost for the production of logic and memory at the 7 nm node generation in coming years. Furthermore 500 W might be necessary in five years for high NA lithography for the 5 nm node generation. Therefore power scaling is a crucial issue for light source suppliers. To cope with this situation, the Gigaphoton light source has unique and novel concepts for power scaling and stable operation. Firstly, the tin droplet size is highly optimized, i.e. minimum mass target for EUV generation, to achieve the appropriate balance of sufficient EUV power and minimum amount of tin debris. Secondly, the tin plasma is generated by dual shooting with different wavelength, which leads to very efficient EUV emission. At the same time, Gigaphoton s original tin mitigation system, a magnetic field flux generated by super conducting magnets, guides tin which is highly ionized by efficient laser irradiation and traps the tin ions in ion catchers to not contaminate the surface of the collector mirror. As a result, the needed amount of hydrogen can be reduced, which means that the negative impact to shooting stability, e.g. shock wave inside the chamber, is very small and a stable high repetition rate can be achieved. In this paper performance of several key components is explained and outlined. 2. GIGAPHOTON LPP EUV LIGHT SOURCE SYSTEM CONCEPT Figure 1 shows the concept of Gigaphoton s HVM EUV light source which consists of five key technologies. Those are, (1) Dual shooting system by CO 2 laser and solid state laser for high tin ionization rate and high laser energy conversion efficiency (CE) to EUV emission. (2) Hybrid CO 2 laser system with short pulse and high repetition rate oscillator and continuous wave amplifiers. (3) Accurate shooting control between droplet and laser beam. (4) Tin debris mitigation system with super conductive magnets and ion catchers. (5) Highly efficient out of band light reduction with grating structured collector mirror. Figure 1. Concept of Gigaphoton HVM EUV light source Proc. of SPIE Vol

4 Higher CE and Power Optimum wavelength to transform droplets into fine mist Higher CE achievementwith ideal expansion of the fine mist Long Life Chamber Debris mitigation by magnetic field Ionized tin atoms are guided to tin catcher by magnetic field Droplet (liquid) droplet <20ym pr Ise Fine -mist (liquid) CO2 laser mist size <300ym irradiation 100 %vaporizafion -100% ionization to atom a a No Fragments Atom -0 Plasma (gas) Magnetic Field Ion Guiding T % Ions with low energy trapped by B field Ion -0 Figure 2. Concept of EUV light emission and tin mitigation process.,'t.,... Gas Etching L S Remaining atoms to mirror etched by gas Figure 2 shows a schematic drawing of EUV light emission with a pre-pulse laser and tin debris mitigation with magnetic field. A tin droplet is irradiated with a pre-pulse laser and is crushed into a sub-micron size mist. The tin mist expands in time forming a cloud of tin. This cloud is heated by a pulsed CO 2 laser beam at a proper delay time. The cloud converts to a high temperature plasma, i.e. tin atoms are ionized to high charge states. During the tin ion recombination process, the tin plasma emits 13.5 nm EUV light. The moving tin ions are trapped by the magnetic field and guided along the magnetic flux because of the Lorentz force, i.e. the tin ions are removed from the light source vessel without reaching the collector mirror. Even though the magnetic mitigation works well, still a small amount of tin can reach to the surface of the collector mirror. For example, neutral residues of the fuel tin, after emitting EUV light, can be scattered and eventually reach the collector mirror surface. Hence, the tin ionization rate should be maximized in these laser heating processes to reduce the amount of the residual neutral tin. Hydrogen gas can assist the magnetic tin mitigation system. If tin deposits irregularly on the collector mirror, dissociated hydrogen molecules activated by EUV light, i.e. hydrogen radicals, react with the tin on the collector surface and the tin is etched via stannane gas (SnH 4 ) formation. Finally, stannane is passed out of the light source vessel via the exhaust duct. 3. DROPLET GENERATOR The most effective means for maintaining cleanliness inside the vessel is to minimize the total mass of tin introduced into the vessel. Thus the tin droplet size should be minimal but still large enough for the required EUV power generation. The appropriate droplet size for a 100 watt class EUV output has been investigated at Gigaphoton s laboratory. As a result, a droplet diameter of 20 um diameter is suitable in terms of balance between EUV energy and contamination. In addition, there is another advantage of smaller droplet size generation, with respect to stretching the life-time of the droplet generator. A tin tank volume of the droplet generator is limited by several factors, like unit handling, stoutness and so on. The tin consumption rate can be reduced if the droplet size decreases, resulting in longer generator life-time for the same tin tank volume. Smaller size droplet generation is one of the easy ways to improve the droplet generator life-time, which contributes to improving the machine light source availability. Droplet generators being able to emit 20 um tin droplets have been developed 11). Figure 3 shows droplet train picture for 30 and 20 um diameter droplets. Key points of the development of generators for smaller droplets are microfabrication technology to manufacture nozzles with smaller hole size and impurity particle management technology inside droplet generators. Droplet generators are required to generate tin droplets that are continuously stable and long running. Longer operation times with stable droplet can be achieved by further improvement of the impurity particle management. Particles that are larger or of the same size as the nozzle diameter cause tin flow stop, clogging, but particles with a smaller size than the nozzle diameter can cause droplet position and timing instabilities. Therefore, droplet generator parts cleaning, assembly technology and tin purifying technology have been improved for the 20 um droplets ejection generator developing 12, 13). Figure 4 shows the position stability of 20 um droplets observed at the plasma point of the light source vessel during the emission of dose controlled EUV light. The droplet position was measured with sensors \ Proc. of SPIE Vol

5 and the droplet trajectory was controlled by actuators. The position stability was less than +/-5 um, which is sufficient for accurate shooting control, the EUV energy dose error was 0.07% (3sigma). 30 pm 20pm X Position (um) X Position Z position Z Position (um) Time (hour) Figure 3. Droplets with different size Figure 4. Droplet position stability (Closed loop) The Combination of a tin target and a CO2 laser as excitation driver is suitable for EUV generation with wavelength of 13.5 nm 14). Laser properties, wavelength, intensity, energy fluence and so on, should be optimized regarding the machine light source specification and engineering difficulties. Shorter pulse laser is suitable for EUV generation in several aspects. Higher repetition rate operation is also desirable for higher power EUV generation. In order to realize these special features, the CO 2 laser system 15) consists of two sections, the oscillator and the amplifiers. 4. HYBRID CO 2 LASER SYSTEM QCL Sesderl (^1) QCL Seeder 2 (k2) QCL Seeder n (î.n) Special design custom -built "regenerative" CO2 amplifier 1 =P18 2= 3= 4 =ala Liaccumulated Key re: High rep-rate 0.100kHz On- demand pulsing No pedestal Pulse - width- adjustable, 13-35ns Very stable in pulse energy, spectrum, pulse -shape etc. In the oscillator section, a multi-line CO 2 master oscillator Figure 5. Multi line oscillator was introduced. Figure 5 shows a master oscillator configuration. This master oscillator comprises a multi-line oscillator and a set of pre-amplifiers based on slab CO 2 laser technology. This multi-line oscillator is a novel CO 2 laser configuration, employing quantum-cascade laser (QCL) seeding, built for superior temporal and spectral control of output pulses of an electronically controlled envelope. A QCL can generate short pulse and has good electrical controllability, but does not oscillate over the whole wavelength region covering the excited line in the discharge CO 2 laser used as amplifiers. Multi-line seeding was selected for this issue. There are many excited lines in a pre-amplifier gas medium, so the QCL wavelength is tuned to each excited line for efficient amplification. Easy electronic control of highly efficient short pulse oscillator system can be realized by adapting several QCLs with different oscillation wavelengths. High output stability, as well as multi-line operation, was confirmed experimentally. In the amplifier section, fast-transverse-flow (FTF) CO 2 lasers 15) are used. Window Figure 6 shows the FTF operation concept. In principle, FTF lasers offer Optical axis a higher gain and a shorter optical path in the amplification system as Electrodes compared to the fast-axial-flow (FAF) CO 2 lasers. The FTF discharge energy can be concentrated in the CO 2 laser gas with high spatial and Window temporal intensity in the space between the electrodes. A higher temporal energy concentration is preferred for a higher short-pulse amplification rate at fixed gain length. Thus FTF type CO 2 lasers are suitable as short pulse amplifiers. In the LPP-EUV light source, four FAF CO 2 lasers are connected in series as a pre-amplifier followed by three main amplifiers (Figure 7). Over 20kW was achieved in this laser cascade which is operated at 15ns Figure 6. Fast-transverse flow CO 2 laser Proc. of SPIE Vol

6 pulse duration with 100 khz repetition rate. Figure 8 shows the output pulse shape at after MA3 output. Final laser pulse duration is ~17 nsec. The laser pointing, position and profile are electrically controlled at several points inside the laser systems to maintain stable performance for shooting control and EUV energy. Combination Osc ó ro ó o Pre amp MA1 MA2 MA3 FWHM 17.0 nsec F +F L I- I r I. I- I r 1. r... :4i0-tievr-i,,y : ár %..41 r- 1 - I r a. s.,+.e,;rt!f_r-r,+`i'. i r I, l 7. r ^t R a ' L 9. Figure 7. Combination of CO 2 laser system Figure 8. CO 2 laser pulse shape after amplified 5. PRE-PULSE TECHNIQUE FOR HIGH CONVERSION EFFICIENCY The improvement of the Energy conversion efficiency (CE) from CO 2 laser to EUV light is one of the important issues for the development of a high power and stable EUV light source. The combination of a liquid tin droplet target and a CO 2 laser driver is the most suitable combination for 13.5 nm EUV generation. But dimension matching is needed for efficient EUV generation. Desirable tin target size is ~ 20 um, as mentioned above, the CO 2 laser wavelength is ~10 um, on the other hand. The Laser beam should be focused to the droplet size for efficient tin excitation. This means that the laser has to be focused to a size of its wavelength and this is in general difficult. Another issue is the liquid tin droplet shape. A liquid tin droplet is spherical due to its large surface tension. When the CO 2 laser is irradiated to a droplet, only half of its surface is irradiated and excited. The plasma produced in the initial period shields the CO 2 laser, so called cutoff, and the CO 2 laser energy cannot be absorbed by the tin but is reflected instead. The solution is a tin droplet conditioning by a laser, called pre-pulse laser. The Pre-pulse laser expands the droplet to a disk-like shape with proper pre-pulse laser properties shown in Figure 9 (a) 16). The disk size can be designed such that the CO 2 laser focus size is not limited by the initial droplet size. The pre-pulse process is not directly connected to the EUV emission process of tin, i.e. pre-pulse laser properties like wavelength, pulse duration, energy, can be selected only with regard to tin expansion. The Tin droplet is also crushed into a sub-micron mist with particle sizes of less than a few microns. By this crushing, the total surface of a droplet increases drastically and apparently energy absorption and heating efficiency by CO 2 laser was improved. In the light source system, shooting by the solid state laser as a pre-pulse for this crushing has been introduced prior to shooting the CO 2 laser as a main high power laser irradiation. A tin mist cloud shapes and its distribution is important for efficient CO 2 laser energy absorption and tin excitation. It was found that a pre-pulse laser with a shorter pulse duration generates a different shape of tin mist than a pre-pulse laser with a longer pulse duration, as shown in Fig. 9 (b). A droplet is crushed and a dome like mist cloud is formed 17). The CE was improved with this target shape to 4.5% from 2.5% with a disk like target. Fig. 9 (c) shows the light emission just after CO 2 irradiation (upper: visible CCD image, lower: X-ray CCD, EUV image). From this picture it is understood that the plasma generation takes place in the whole tin target (initial mist area). Proc. of SPIE Vol

7 Flat disk like target psec Dome * Wide EUV like target (a) (b) (c) emission Figures 9. (a) Tin expansion with nsec pulse pre-pulse laser (b) with psec pulse laser (c) Images after CO2 laser irradiation, upper: visible light distribution, lower: EUV emission distribution Conventional Collector IR +DUV Collectorw /Grating +EUV IR Filter,o I,,, Aperture 6. COLLECTOR MIRROR The collector mirror is one of the most important parts inside EUV light sources. With a multi-layer on its surface it has the function to extract and filter the EUV light from the plasma. The plasma emits not only EUV light, but at the same time also ultra violet, visible and infrared (IR) light as out of band light. IR light, including extremely strong background emission due to CO2 laser scattering from the tin plasma, causes heating and deformation of the optics located after the light source. Different transmission filter were used for cutting the IR light, most of them of transparency type (thin foils), but EUV light degradation by the filter and filter destruction by heat load are critical issues for engineering. One solution for this issue is a collector mirror with a grating like structure on the multilayer surface 18). The diffracted IR light from the multilayer grating forms an interference pattern at the focal plane. The mirror with grating thus focuses the incident IR light at different positions than the EUV light. The IR light is then absorbed by an aperture stop, i.e. blocked and not passing the intermediate focus (IF) point, as shown in Figure 10. Overall, the efficiency of the transmission of EUV power from the plasma point to the lithographic scanner is improved because the EUV loss caused by the grating structure is lower than the loss of the transparence type filter. A transparent filter must have a mesh-like structure of small dimension which reduces the EUV transmission. The EUV reflectivity of a grating mirror is smaller compared to a mirror without grating, but the reflectivity decrease is smaller than the EUV decrease of a IR filter. (Table 1) Improvement of the EUV reflectivity for a grating collector has also been obtained and improved values are shown in Figures 11. DUV Filter DUV Clean +EUV EUV DUV Filter Figure 10. Schematic of collector mirrors Table 1. EUV transmittance budget from plasma to IF Conventional w/ Grating Current 250W Target H2 Pressure <20Pa <20Pa <20Pa Collector Efficiency >74% >74% >74% Collector Reflectivity >50% >45% >50% Gas Transmittance >95% >95% >95% IR Filter Transmittance (73%) (100%) (100%) Plasma to IF clean 25.7% 31.6% 35.1% Proc. of SPIE Vol

8 Reflectivity, % Phi=45 Phi=135 Phi=225 Phi= Substrate Radius, mm Reflectivity, % Phi=45 Phi=135 Phi=225 Phi= Substrate Radius, mm Figures 11. EUV reflectivity improvement of grating mirror 7. DEBRIS MITIGATION SYSTEM The magnetic mitigation technology is applied and its advantageous effect has been ascertained in our prototype light source system. The concept of magnetic mitigation system was already explained in Fig. 2 and the schematic drawing of mitigation system is shown in Figure 12. After emitting EUV light diffused tin ions are confined by the magnetic field and guided to ion catchers for proper evacuation from the vacuum vessel. This system was introduced in the light source system and tin debris accumulation on the collector mirror was evaluated. Mitigation system performance was evaluated with witness plates at several positions of a dummy collector mirror. The position of the shown data is closest to the plasma point at the central position of the collector mirror. Table 2 shows the improvement of the debris mitigation system. Droplet generator Magnet Table 2. Tin debris mitigation improvement results Magnet Plasma point Year Pulse number Ion catcher Collector T Laser inlet Dloplet catcher Debris in Center of collector (SEM x10,000) Sn deposition rate 0.5 nm/mpls sta s clean with increasing pulse number <0.002 < < nm/mpls nm/mpls nm/mpls Figure 12. Schematic of magnetic mitigation 8. SHOOTING CONTROLLING SYSTEM A shooting control system is very important for the EUV light source system. Shooting accuracy affects not only EUV output stability, efficiency, but also life time, namely the tin debris mitigation performance. The tin debris size, the ionization rate produced in the EUV emission process depends on pre-pulse properties, as mentioned in a previous section. Therefore, a poor shooting accuracy of droplets, pre-pulse laser and CO 2 laser causes large size debris and low ionization rate. The Magnetic mitigation system, on the other hand, works as designed at low debris and high ionization rate. The collector mirror will not be protected during low accuracy operation, as shown in Table 2 for 152 Mpls. The compensation of thermal effects by the shooting control system is also an important function for stable high duty cycle operation with high power CO2 laser. Proc. of SPIE Vol

9 Beam control of PPL and CO2 Droplet generator Collector mirror Droplet position control Droplet position sensor Beam Transfer System Combiner unit Focus unit Coaxial laser beams Droplets timing sensor EUV energy sensor Timing control between droplets and lasers Laser System Pre-pulse laser Dose control OSC Isolator Pre AMP MA1 MA2 MA3 Figure 13. Schematic drawing of shooting system Figure 13 shows the schematic drawing of the shooting control system 19, 20). Pre-pulse laser and CO 2 laser have sensors and actuators to control their beam position and pointing for proper injection into a combiner unit. The combined beams enter a focusing unit which focuses pre-pulse laser and CO 2 laser at the plasma position. A droplet position sensor detects the droplet position for controlling the droplet generator position and information from the droplet timing sensor is used to determine the laser shot timing. This system enables the EUV source system to operate stable even at higher duty cycle, burst to burst period, which is the thermal transition period. System performance tests of proto type machine, shown in Figure 14, have been done with key components described in this paper. The output power results are shown in Figure 15. The in-band EUV power was 108 W at IF with dose control. The total shot number was 3450 Mpls and the test duration was 24 hours. In Band Power (W) Dose Error 3 sigma(%) Figure 14. Proto type machine for 100 W EUV Shot Number (Mpls) Figure 15. System performance test results, output power and stability 9. SUMMARY A technology update of key components of a 100W LPP-EUV light source for high volume semiconductor device manufacturing was given in this paper. Each key component was described and updated data were presented. The components efficiently produced a stable plasma and effectively evacuated tin debris from the EUV vessel, based on a magnetic debris mitigation and a shooting control system. A high power and long life EUV light source system has been demonstrated by the latest proto system performances which support 100 W output power of LPP-EUV light source. 10. ACKNOWLEDGMENT This work was partly supported by the New Energy and Industrial Technology Development Organization (NEDO), Proc. of SPIE Vol

10 Japan. We acknowledge their continuous support. We acknowledge to following researchers and organizations; Plasma simulation is supported by Dr. Atsushi Sunahara, Prof. Katsunori Nishihara, Prof. Hiroaki Nishimura, and others in Osaka University. Plasma diagnostics is supported by Dr. Kentaro Tomita, Prof. Kiichiro Uchino and others in Kyushu University. We also acknowledge many companies and engineers; EUV collector mirror collaboration by collector mirror suppliers especially CO2 laser amplifier development is supported by Mitsubishi electric CO2 laser amplifier development team: Dr. Junichi Nishimae, Dr. Shuichi Fujikawa and others. REFERENCES [1] Lin, B. J., Immersion lithography and its impact on semiconductor manufacturing, Proc. SPIE 5377, (2004). [2] Corthout, M., et al., Lessons learnt on Sn DPP sources in Alpha tool and the road to HVM, EUV Symposium 2007, Sapporo, Oct. 30 (2007). [3] Morimoto, Y., VUV~UV light generation and application (in Japanese), Light Edge Ushio's Technology Magazine 33, 20 (2010). [4] Yoshioka, M., et al., Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner, Proc. SPIE 7969, 79691G (2011). [5] Mizoguchi, H., et al., First generation laser-produced plasma source system for HVM EUV lithography, Proc. SPIE 7636, (2010). [6] Endo, A., et al., Laser produced EUV light source development for HVM, Proc. SPIE 6517, 65170O (2007). [7] Mizoguchi, H., et al., 100W 1st Generation Laser-Produced Plasma light source system for HVM EUV lithography, Proc. SPIE 7969, (2011). [8] Mizoguchi, H., et al., Sub-hundred Watt operation demonstration of HVM LPP-EUV Source, Proc. SPIE 9048, 90480D (2014). [9] Mizoguchi, H., et al., Performance of One Hundred Watt HVM LPP-EUV Source, EUV Symposium 2014, Oct , Washington D.C. (2014). [10] Pirati, A., et al., Performance overview and outlook of EUV lithography systems, Proc. SPIE 9422, 94221P (2015) [11] Yamazaki, T., et al., Key components technology update of 100W HVM EUV source, Proc. SPIE 9422, 94222P (2015). [12] Hirashita, T., et al., Target supply device, US Patent, (2014). [13] Shiraishi, Y., et al., Target material refinement device and target supply apparatus, US Patent, (2015). [14] Freemana, J. R., et al., Wavelength dependence of prepulse laser beams on EUV emission from CO2 reheated Sn plasma, Proc. SPIE 8322, 83220H (2012). [15] Tanino, Y., et al., A Driver CO 2 Laser Using Transverse-flow CO 2 Laser Amplifiers, EUV Symposium 2013, Oct , Toyama, (2013). [16] Hori, T., et al., System and method for generating extreme ultraviolet light, US Patent, (2015) [17] Yanagida, T., et al., Extreme ultraviolet light generation system utilizing a pre-pulse to create a diffused dome shaped target, US Patent, (2015). [18] Moriya, M., et al., Mirror for extreme ultra violet, manufacturing method for mirror for extreme ultra violet, and far ultraviolet light source device, US Patent, (2012). [19] Moriya, M., et al., Extreme ultraviolet light source device and control method for extreme ultraviolet light source device, US Patent, (2012). [20] Hayashi, H., et al., Extreme ultraviolet light generation apparatus and control method for laser apparatus in extreme ultraviolet light generation system, US Patent, (2015). Proc. of SPIE Vol

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Physics Research International Volume, Article ID 49495, pages doi:.55//49495 Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography Junichi

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

Consequences of high-frequency operation on EUV source efficiency

Consequences of high-frequency operation on EUV source efficiency Consequences of high-frequency operation on EUV source efficiency Tatyana Sizyuk Center for Materials under Extreme Environment (CMUXE), School of Nuclear Engineering Purdue University, West Lafayette,

More information

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources A. Hassanein, V. Sizyuk, S.S. Harilal, and T. Sizyuk School of Nuclear Engineering and Center

More information

Status of EUV Sources for Mask Metrology

Status of EUV Sources for Mask Metrology Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com Outline Background Current Technology Status

More information

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source! H. Nishimura 1, H. Matsukuma 1, K. Yoshida 1, T. Hosoda 1, A. Yogo 1,! N. Tanaka 1, S. Fujioka 1, K. Nishihara 1,! A.

More information

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements M. S. Tillack, Y. Tao, Y. Ueno*, R. Burdt, S. Yuspeh, A. Farkas, 2 nd TITAN workshop on MFE/IFE common research

More information

Important processes in modeling and optimization of EUV lithography sources

Important processes in modeling and optimization of EUV lithography sources Important processes in modeling and optimization of UV lithography sources T. Sizyuk and A. Hassanein Center for Materials under xtreme nvironment, School of Nuclear ngineering Purdue University, West

More information

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source Yezheng Tao*, Mark Tillack, Kevin Sequoia, Russel Burdt, Sam Yuspeh, and Farrokh Najmabadi University of California, San Diego

More information

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Chapter 7 EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS Hot dense plasma lasing medium d θ λ λ Visible laser pump Ch07_00VG.ai The Processes of Absorption, Spontaneous Emission, and Stimulated Emission Absorption

More information

Update of EUV Source Development Status for HVM Lithography

Update of EUV Source Development Status for HVM Lithography Technical Communication JLMN-Journal of Laser Micro/Nanoengineering Vol. 11, No. 2, 2016 Update of EUV Source Development Status for HVM Lithography Hakaru Mizoguchi, Krzysztof M Nowak, Hiroaki Nakarai,

More information

Efficient EUV source by use of a micro-target containing tin nanoparticles

Efficient EUV source by use of a micro-target containing tin nanoparticles 2008 International Workshop on EUV Lithography Efficient EUV source by use of a micro-target containing tin nanoparticles Takeshi Higashiguchi higashi@cc.utsunomiya-u.ac.jp Utsunomiya University, Japan

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Optimization of laser-produced plasma light sources for EUV lithography

Optimization of laser-produced plasma light sources for EUV lithography page 1 of 17 Optimization of laser-produced plasma light sources for EUV lithography M. S. Tillack and Y. Tao 1 University of California, San Diego Center for Energy Research 1 Currently at Cymer Inc.

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Padraig Dunne, UCD School of Physics Dublin, Ireland. Padraig Dunne, UCD School of Physics Dublin, Ireland. Contents Zurich Prague Dublin Padova Carl Zeiss Aachen ASML IMEC EPPRA Xtreme ISAN ISAN Progress in on line MLM carbon cleaning Progress in radiative

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography P10 Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography A. Sunahara 1 K. Nishihara 2 A. Sasaki 3 1 Institute for Laser Technology (ILT) 2 Institute of Laser

More information

UC San Diego EUV Lithography Group Progress Report

UC San Diego EUV Lithography Group Progress Report University of California, San Diego UCSD-CER-10-02 UC San Diego EUV Lithography Group Progress Report M. S. Tillack, Y. Tao, F. Najmabadi, L. Carlson, S. Yuspeh, R. Burdt, A. Farkas, N. Shaikh, N. Amin,

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET Akihiko Takahashi 1, Hiroki Tanaka 2, Atsushi Matsumoto 2, Yuuki Hashimoto 2, Kiichiro Uchino 3, Tatsuo Okada 2 1 Department of Health

More information

Bolometry. H. Kroegler Assciazione Euratom-ENEA sulla Fusione, Frascati (Italy)

Bolometry. H. Kroegler Assciazione Euratom-ENEA sulla Fusione, Frascati (Italy) Bolometry H. Kroegler Assciazione Euratom-ENEA sulla Fusione, Frascati (Italy) Revised May 28, 2002 1. Radiated power Time and space resolved measurements of the total plasma radiation can be done by means

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Progress in LPP EUV Source Development by Japan MEXT Project

Progress in LPP EUV Source Development by Japan MEXT Project Progress in LPP EUV Source Development by Japan MEXT Project Y. Izawa, N. Miyanaga, H. Nishimura, S. Fujioka, T. Aota, K. Nagai, T. Norimatsu,K. Nishihara, M. Murakami, Y. -G. Kang, M. Nakatsuka, H. Fujita,

More information

Recent improvement of the LHD Thomson scattering system

Recent improvement of the LHD Thomson scattering system Recent improvement of the LHD Thomson scattering system 1 National Institute for Fusion Science Oroshi 322-6, Toki, Gifu 509-5292, Japan E-mail: yamadai@lhd.nifs.ac.jp H. Funaba, R. Yasuhara, K. Narihara,

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Richard Haight IBM TJ Watson Research Center PO Box 218 Yorktown Hts., NY 10598 Collaborators Al Wagner Pete Longo Daeyoung

More information

6.5 Optical-Coating-Deposition Technologies

6.5 Optical-Coating-Deposition Technologies 92 Chapter 6 6.5 Optical-Coating-Deposition Technologies The coating process takes place in an evaporation chamber with a fully controlled system for the specified requirements. Typical systems are depicted

More information

Noninductive Formation of Spherical Tokamak at 7 Times the Plasma Cutoff Density by Electron Bernstein Wave Heating and Current Drive on LATE

Noninductive Formation of Spherical Tokamak at 7 Times the Plasma Cutoff Density by Electron Bernstein Wave Heating and Current Drive on LATE 1 EX/P6-18 Noninductive Formation of Spherical Tokamak at 7 Times the Plasma Cutoff Density by Electron Bernstein Wave Heating and Current Drive on LATE M. Uchida, T. Maekawa, H. Tanaka, F. Watanabe, Y.

More information

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography Acknowledgement K. Nishihara, H. Nishimura, S. Fujioka Institute for Laser Engineering, Osaka University A. Sunahara, H. Furukawa Institute for Laser Technology T. Nishikawa, Okayama University F. Koike,

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

OPTICAL GAIN AND LASERS

OPTICAL GAIN AND LASERS OPTICAL GAIN AND LASERS 01-02-1 BY DAVID ROCKWELL DIRECTOR, RESEARCH & DEVELOPMENT fsona COMMUNICATIONS MARCH 6, 2001 OUTLINE 01-02-2 I. DEFINITIONS, BASIC CONCEPTS II. III. IV. OPTICAL GAIN AND ABSORPTION

More information

Excimer Lasers Currently best UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide which normally do not

Excimer Lasers Currently best UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide which normally do not Excimer Lasers Currently best UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide which normally do not bond But when excited/ionized these atoms attract Bound

More information

Because light behaves like a wave, we can describe it in one of two ways by its wavelength or by its frequency.

Because light behaves like a wave, we can describe it in one of two ways by its wavelength or by its frequency. Light We can use different terms to describe light: Color Wavelength Frequency Light is composed of electromagnetic waves that travel through some medium. The properties of the medium determine how light

More information

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

Laser heating of noble gas droplet sprays: EUV source efficiency considerations Laser heating of noble gas droplet sprays: EUV source efficiency considerations S.J. McNaught, J. Fan, E. Parra and H.M. Milchberg Institute for Physical Science and Technology University of Maryland College

More information

Experiment objectives: measure the ratio of Planck s constant to the electron charge h/e using the photoelectric effect.

Experiment objectives: measure the ratio of Planck s constant to the electron charge h/e using the photoelectric effect. Chapter 1 Photoelectric Effect Experiment objectives: measure the ratio of Planck s constant to the electron charge h/e using the photoelectric effect. History The photoelectric effect and its understanding

More information

JURONG JUNIOR COLLEGE J2 H1 Physics (2011) 1 Light of wavelength 436 nm is used to illuminate the surface of a piece of clean sodium metal in vacuum.

JURONG JUNIOR COLLEGE J2 H1 Physics (2011) 1 Light of wavelength 436 nm is used to illuminate the surface of a piece of clean sodium metal in vacuum. JURONG JUNIOR COLLEGE J2 H1 Physics (2011) Tutorial: Quantum Physics 1 Light of wavelength 436 nm is used to illuminate the surface of a piece of clean sodium metal in vacuum. Calculate the energy of a

More information

Lasers and Electro-optics

Lasers and Electro-optics Lasers and Electro-optics Second Edition CHRISTOPHER C. DAVIS University of Maryland III ^0 CAMBRIDGE UNIVERSITY PRESS Preface to the Second Edition page xv 1 Electromagnetic waves, light, and lasers 1

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Waseda University Research Institute for Science and Engineering Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region Research Institute for Science

More information

Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials

Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials Jim BOVATSEK *1, Rajesh PATEL *1 *1 Spectra-Physics, MKS Instruments, Inc., 3635 Peterson Way, Santa Clara, CA., 95054,

More information

AS 101: Day Lab #2 Summer Spectroscopy

AS 101: Day Lab #2 Summer Spectroscopy Spectroscopy Goals To see light dispersed into its constituent colors To study how temperature, light intensity, and light color are related To see spectral lines from different elements in emission and

More information

6. ELECTRODE EXPERIMENT

6. ELECTRODE EXPERIMENT 6. ELECTRODE EXPERIMENT The purpose of this Section is to illustrate how the electrodes for PROTO-SPHERA have been developed. They were the most unconventional items and among the major concerns, when

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target Takeshi Higashiguchi E-mail: higashi@opt.miyazaki-u.ac.jp Keita Kawasaki, Naoto Dojyo, Masaya Hamada, Wataru Sasaki,

More information

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas Sergey V. Zakharov +, Peter Choi, Vasily S. Zakharov NANO UV sas EPPRA sas + also with RRC Kurchatov Institute,

More information

Coulomb crystal extraction from an ion trap for application to nano-beam source"

Coulomb crystal extraction from an ion trap for application to nano-beam source Coulomb crystal extraction from an ion trap for application to nano-beam source" K. Ito, K. Izawa, H. Higaki and H. Okamoto,! Aadvanced Sciences of Matter, Hiroshima University,! 1-3-1 Kagamiyama, Higashi-Hiroshima,

More information

Photoelectric Effect

Photoelectric Effect Photoelectric Effect The ejection of electrons from a surface by the action of light striking that surface is called the photoelectric effect. In this experiment, as you investigate the photoelectric effect,

More information

(i) Show that the energy of a single photon is about 3 x J.

(i) Show that the energy of a single photon is about 3 x J. 1(a) A helium-neon laser emits red light of wavelength 6.3 x 10 7 m. (i) Show that the energy of a single photon is about 3 x 10 19 J. [2] The power of the laser beam is 1.0 mw. Show that about 3 x 10

More information

Progress of experimental study on negative ion production and extraction

Progress of experimental study on negative ion production and extraction 1 FIP/1-4 Progress of experimental study on negative ion production and extraction M. Kisaki 1, K. Tsumori 1,2,. Geng 2, K. Ikeda 1, H. akano 1,2, M. Osakabe 1,2, K. agaoka 1, Y. Takeiri 1,2 1 ational

More information

Production of X-rays. Radiation Safety Training for Analytical X-Ray Devices Module 9

Production of X-rays. Radiation Safety Training for Analytical X-Ray Devices Module 9 Module 9 This module presents information on what X-rays are and how they are produced. Introduction Module 9, Page 2 X-rays are a type of electromagnetic radiation. Other types of electromagnetic radiation

More information

Comparison of EUV spectral and ion emission features from laserproduced

Comparison of EUV spectral and ion emission features from laserproduced Comparison of EUV spectral and ion emission features from laserproduced and plasmas R. W. Coons, D. Campos, M. Crank, S. S. Harilal, and A. Hassanein School of Nuclear Engineering, and Center for Materials

More information

Eximer Lasers UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide When excited/ionized atoms attract

Eximer Lasers UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide When excited/ionized atoms attract Eximer Lasers UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide When excited/ionized atoms attract Bound together separated by short distance Call this Excited

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion

Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion Cesium Dynamics and H - Density in the Extended Boundary Layer of Negative Hydrogen Ion Sources for Fusion C. Wimmer a, U. Fantz a,b and the NNBI-Team a a Max-Planck-Institut für Plasmaphysik, EURATOM

More information

X-ray photoelectron spectroscopy with a laser-plasma source

X-ray photoelectron spectroscopy with a laser-plasma source Proc. SPIE Vol.3157 (1997) pp.176-183 X-ray photoelectron spectroscopy with a laser-plasma source Toshihisa TOMIE a, Hiroyuki KONDO b, Hideaki SHIMIZU a, and Peixiang Lu a a Electrotechnical Laboratory,

More information

Optical and Photonic Glasses. Lecture 30. Femtosecond Laser Irradiation and Acoustooptic. Professor Rui Almeida

Optical and Photonic Glasses. Lecture 30. Femtosecond Laser Irradiation and Acoustooptic. Professor Rui Almeida Optical and Photonic Glasses : Femtosecond Laser Irradiation and Acoustooptic Effects Professor Rui Almeida International Materials Institute For New Functionality in Glass Lehigh University Femto second

More information

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Damage to Molecular Solids Irradiated by X-ray Laser Beam WDS'11 Proceedings of Contributed Papers, Part II, 247 251, 2011. ISBN 978-80-7378-185-9 MATFYZPRESS Damage to Molecular Solids Irradiated by X-ray Laser Beam T. Burian, V. Hájková, J. Chalupský, L. Juha,

More information

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali, G. Atom O Sullivan Indonesia / Atom Vol. Indonesia 4 No. 2 (24) Vol. 47 No. - 2 (24) 7 - Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas I. Kambali * and G. O Sullivan

More information

Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup

Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup 1 Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup Abstract Jacob Begis The purpose of this lab was to prove that a source of light can be

More information

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST Optimizing RLS Seiichi Tagawa The Institute of Scientific and Industrial Research Osaka University and JST-CREST IEUVI Resist TWG October 2, 2008 Acknowledgement Prof. Takahiro Kozawa Dr. Akinori Kozawa

More information

Laser Dissociation of Protonated PAHs

Laser Dissociation of Protonated PAHs 100 Chapter 5 Laser Dissociation of Protonated PAHs 5.1 Experiments The photodissociation experiments were performed with protonated PAHs using different laser sources. The calculations from Chapter 3

More information

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography Rare-earth plasma extreme ultraviolet sources at 6.5-6.7 nm for next generation semiconductor lithography Takeshi Higashiguchi 1 Takamitsu Otsuka 1, Deirdre Kilbane 3, John White 3, Noboru Yugami 1,2,

More information

CHAPTER A2 LASER DESORPTION IONIZATION AND MALDI

CHAPTER A2 LASER DESORPTION IONIZATION AND MALDI Back to Basics Section A: Ionization Processes CHAPTER A2 LASER DESORPTION IONIZATION AND MALDI TABLE OF CONTENTS Quick Guide...27 Summary...29 The Ionization Process...31 Other Considerations on Laser

More information

Dept. of Physics, MIT Manipal 1

Dept. of Physics, MIT Manipal 1 Chapter 1: Optics 1. In the phenomenon of interference, there is A Annihilation of light energy B Addition of energy C Redistribution energy D Creation of energy 2. Interference fringes are obtained using

More information

High Efficiency Collector for Laser Plasma EUV Source.

High Efficiency Collector for Laser Plasma EUV Source. University of Central Florida UCF Patents Patent High Efficiency Collector for Laser Plasma EUV Source. 7-11-2006 Jonathan Arenberg Northrop Grumman Corporation Find similar works at: http://stars.library.ucf.edu/patents

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca Femtosecond laser microfabrication in polymers Prof. Dr. Cleber R. Mendonca laser microfabrication focus laser beam on material s surface laser microfabrication laser microfabrication laser microfabrication

More information

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target San Diego, 25.11.7-9 EUV Source Developments on Laser-Produced Plasmas using thium New Scheme Target Shuji MIYAMOTO, Sho AMANO, Takahiro INOUE Petru-Edward NICA, Atsushi SHIMOURA Kakyo KAKU, and Takayasu

More information

Beam diagnostics: Alignment of the beam to prevent for activation. Accelerator physics: using these sensitive particle detectors.

Beam diagnostics: Alignment of the beam to prevent for activation. Accelerator physics: using these sensitive particle detectors. Beam Loss Monitors When energetic beam particles penetrates matter, secondary particles are emitted: this can be e, γ, protons, neutrons, excited nuclei, fragmented nuclei... Spontaneous radiation and

More information

laser with Q-switching for generation of terahertz radiation Multiline CO 2 Journal of Physics: Conference Series PAPER OPEN ACCESS

laser with Q-switching for generation of terahertz radiation Multiline CO 2 Journal of Physics: Conference Series PAPER OPEN ACCESS Journal of Physics: Conference Series PAPER OPEN ACCESS Multiline CO 2 laser with Q-switching for generation of terahertz radiation To cite this article: A A Ionin et al 2017 J. Phys.: Conf. Ser. 941 012004

More information

Chapter 24 Photonics Question 1 Question 2 Question 3 Question 4 Question 5

Chapter 24 Photonics Question 1 Question 2 Question 3 Question 4 Question 5 Chapter 24 Photonics Data throughout this chapter: e = 1.6 10 19 C; h = 6.63 10 34 Js (or 4.14 10 15 ev s); m e = 9.1 10 31 kg; c = 3.0 10 8 m s 1 Question 1 Visible light has a range of photons with wavelengths

More information

Richard Miles and Arthur Dogariu. Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA

Richard Miles and Arthur Dogariu. Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA Richard Miles and Arthur Dogariu Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA Workshop on Oxygen Plasma Kinetics Sept 20, 2016 Financial support: ONR and MetroLaser

More information

Chamber Development Plan and Chamber Simulation Experiments

Chamber Development Plan and Chamber Simulation Experiments Chamber Development Plan and Chamber Simulation Experiments Farrokh Najmabadi HAPL Meeting November 12-13, 2001 Livermore, CA Electronic copy: http://aries.ucsd.edu/najmabadi/talks UCSD IFE Web Site: http://aries.ucsd.edu/ife

More information

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target M. Vrbova 1, P. Vrba 2, S.V. Zakharov 3, V.S. Zakharov 4, M. Müller 5, D. Pánek 1, T. Parkman 1, P.Brůža 1 1 Czech Technical

More information

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources *

High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * High-power Cryogenic Yb:YAG Lasers and Optical Particle Targeting for EUV Sources * J.D. Hybl**, T.Y. Fan, W.D. Herzog, T.H. Jeys, D.J.Ripin, and A. Sanchez 2008 International Workshop on EUV Lithography

More information

Performance of high pressure Xe/TMA in GEMs for neutron and X-ray detection

Performance of high pressure Xe/TMA in GEMs for neutron and X-ray detection Performance of high pressure Xe/TMA in GEMs for neutron and X-ray detection R. Kreuger, C. W. E. van Eijk, Member, IEEE, F. A. F. Fraga, M. M. Fraga, S. T. G. Fetal, R. W. Hollander, Member, IEEE, L. M.

More information

Introduction to Liquid-Wall Chamber Configurations and Phenomena

Introduction to Liquid-Wall Chamber Configurations and Phenomena Introduction to Liquid-Wall Chamber Configurations and Phenomena Per F. Peterson Department of Nuclear Engineering University of California, Berkeley IAEA TCM Meeting Vienna, Austria May 20-24, 2001 The

More information

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12)

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12) 2013 Int. Workshop on EUV and Soft X-ray Sources UCD, Dublin, November 4-7, 2013 A.Garbaruk

More information

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I) Sommersemester 2015 Veranstalter : Prof. Dr. Ulf Kleineberg (ulf.kleineberg@physik.uni-muenchen.de) LMU,

More information

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence IEPC-2015-91059 / ISTS-2015-b-91059 Presented at Joint Conference of 30th International

More information

high temp ( K) Chapter 20: Atomic Spectroscopy

high temp ( K) Chapter 20: Atomic Spectroscopy high temp (2000-6000K) Chapter 20: Atomic Spectroscopy 20-1. An Overview Most compounds Atoms in gas phase high temp (2000-6000K) (AES) (AAS) (AFS) sample Mass-to-charge (ICP-MS) Atomic Absorption experiment

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Laser Optics-II. ME 677: Laser Material Processing Instructor: Ramesh Singh 1

Laser Optics-II. ME 677: Laser Material Processing Instructor: Ramesh Singh 1 Laser Optics-II 1 Outline Absorption Modes Irradiance Reflectivity/Absorption Absorption coefficient will vary with the same effects as the reflectivity For opaque materials: reflectivity = 1 - absorptivity

More information

Application of the GD-Profiler 2 to the PV domain

Application of the GD-Profiler 2 to the PV domain Application of the GD-Profiler 2 to the PV domain GD Profiler 2 RF GDOES permits to follow the distribution of the elements as function of depth. This is an ultra fast characterisation technique capable

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

Application Note GA-301E. MBMS for Preformed Ions. Extrel CMS, 575 Epsilon Drive, Pittsburgh, PA I. SAMPLING A CHEMICAL SOUP

Application Note GA-301E. MBMS for Preformed Ions. Extrel CMS, 575 Epsilon Drive, Pittsburgh, PA I. SAMPLING A CHEMICAL SOUP Application Note MBMS for Preformed Ions, 575 Epsilon Drive, Pittsburgh, PA 15238 (Poster Presented at 45th ASMS Conference on Mass Spectrometry, June 1-5, 1997) In order to accurately characterize a plasma

More information

is the minimum stopping potential for which the current between the plates reduces to zero.

is the minimum stopping potential for which the current between the plates reduces to zero. Module 1 :Quantum Mechanics Chapter 2 : Introduction to Quantum ideas Introduction to Quantum ideas We will now consider some experiments and their implications, which introduce us to quantum ideas. The

More information