LA-UR- Title: Author(s): Submitted to: Approved for public release; distribution is unlimited.

Size: px
Start display at page:

Download "LA-UR- Title: Author(s): Submitted to: Approved for public release; distribution is unlimited."

Transcription

1 LA-UR- Approved for public release; distribution is unlimited. Title: Author(s): Submitted to: Los Alamos National Laboratory, an affirmative action/equal opportunity employer, is operated by the University of California for the U.S. Department of Energy under contract W-7405-ENG-36. By acceptance of this article, the publisher recognizes that the U.S. Government retains a nonexclusive, royalty-free license to publish or reproduce the published form of this contribution, or to allow others to do so, for U.S. Government purposes. Los Alamos National Laboratory requests that the publisher identify this article as work performed under the auspices of the U.S. Department of Energy. Los Alamos National Laboratory strongly supports academic freedom and a researcher s right to publish; as an institution, however, the Laboratory does not endorse the viewpoint of a publication or guarantee its technical correctness. Form 836 (8/00)

2 1 Radiation-Induced Multi-bit Upsets in SRAM-Based FPGAs Paul Graham, Heather Quinn, Jim Krone, and Michael Caffrey Los Alamos National Laboratory, Los Alamos, NM Sana Rezgui Xilinx Corporation, San Jose CA, Abstract This paper provides a methodology for estimating the proton static saturation cross-section for multi-bit upsets (MBUs) in Xilinx FPGAs and describes a methodology for determining MBUs effects on TMRprotected circuits. Experimental results are provided. to fail. This paper quantifies the occurrence of protoninduced MBUs in three generations of Xilinx FPGAs and estimates how likely these MBUs are to cause logic-level TMR techniques to fail. I. INTRODUCTION In recent years, field-programmable gate arrays (FP- GAs) with volatile programming memory, such as the Xilinx Virtex and Virtex-II families, have made inroads into space-based processing tasks [1]. SRAM-based FP- GAs offer many advantages in space-based computing. Custom hardware implementations of applications are often faster than traditional microprocessor implementations without the cost of manufacturing applicationspecific integrated circuits. Reprogrammability also allows designers to reconfigure the device with different application implementations or completely new applications while deployed, which should increase the usable lifetime of the entire system. Using commercial-off-theshelf (COTS) devices with available, mature design tools should reduce the cost of designing space-based systems. The main challenge of using FPGAs in the space environment is mitigating the effects of radiation-induced single-event upsets (SEUs) within these devices. These upsets could modify both the data being processed and the function and wiring of the digital circuits themselves. Several engineers and researchers [2] [5] have demonstrated that logic-level triple-modular redundancy (TMR) with scrubbing of the FPGA s programming (or configuration) data effectively mitigates the results of radiation-induced SEUs. Single-bit upsets are not the only concern, though. A possibility exists for multi-bit upsets (MBUs) caused by a single charged particle. MBUs have been seen in FPGAs [6] as well as other integrated circuits (ICs) with memory structures [7], [8]. Little is known about how well TMR mitigates MBUs effects, although it is suspected that in some cases MBUs may cause TMR II. METHODOLOGY To achieve our goals of understanding the frequency and effects of proton-induced MBUs, we must first identify the proton static saturation cross-sections for MBUs for each device. Once quantified, the frequency of the MBUs and resources they affect are analyzed to understand their potential effect on FPGA designs employing TMR. Further, we analyze the MBU phenomenon using three generations of Xilinx FPGAs to identify any MBU trends that may exist as newer CMOS process technologies continue to shrink transistor sizes. Table I describes several characteristics of the FPGAs that we tested. Though commercial-grade parts were used in our tests, the results should indicate how radiation-tolerant versions of these FPGAs should behave since they use the same fabrications masks. Note that the Virtex, Virtex- II, and Virtex-4 FPGA families use 220-nm, 150-nm, and 90-nm CMOS technologies, respectively. Though Virtex-4 uses a 90-nm process, Xilinx implemented the configuration memory using a 130-nm technology to improve its reliability with regards to terrestrial neutron effects. Family Part Config. CLBs Block IOB Bits RAM Pads (Kb) Virtex XCV1000 5,810,048 6, Virtex-II XC2V250 1,588, Virtex-II XC2V1000 3,744,768 1, Virtex-4 XC4VLX25 7,900,864 24,192 1, TABLE I XILINX PARTS TESTED

3 2 A. Cross-section Methodology Normally when static saturation cross-sections for FPGAs and other devices are determined, a part is irradiated and the number of upsets that occurred are counted, assuming that each upset was independent. As our results will show, this approach does not account for MBU events and, depending of the device tested, can lead to skewed results. To understand the frequency of MBUs due to proton radiation, we used the following test methodology. For our data collection procedure, we used a 63.3-MeV proton beam at UC-Davis s Crocker Nuclear Laboratory to irradiate the FPGAs. During irradiation, we would repeat a simple two-step cycle: we would completely reprogram the FPGA and then immediately read back the programming memory to identify and record any upsets. Since having a large proportion of bits upset per cycle would make it hard to distinguish true MBU events from false ones (i.e., when two or more proton events upset physically adjacent bits), we limited the flux such that each sample cycle observed relatively few upsets when compared with the size of the entire bitstream (less than 0.01% of the bitstream for each case). As an example, there were between one and ten upsets per readback for the Virtex 1000 FPGA experiments, resulting in a worsecase probability of about 6.2x10 6 for creating a false two-bit MBU. With the resulting data, the physical layout of the memory bits was used to determine the adjacency of upsets. For the most part, the local, logical arrangement of the FPGAs configuration data is related to the physical layout, but some exceptions had to be accounted for. Using physical layout information for the bits, we classify a bit as adjacent to another if it lies within one of the eight neighboring memory cells surrounding that bit. Figure 1 illustrates the adjacency neighborhood that we used. Additionally, we used a clustering algorithm that uniquely labels each cluster of bits such that each bit within the cluster is adjacent to at least one other bit within the cluster. In this way, we found the largest possible clusters of bits so we could understand the sizes of MBU events. Because our experimental methodology ensures the low probability of multiple particles causing these clusters, we assume that a single particle event is the most likely explanation for each cluster of upset bits. The location of each cluster is also recorded since the location is important for determining what FPGA resources are affected and frequency of MBUs by resource type. Fig. 1. Upset Adjacency Neighborhood B. TMR Effects Methodology Next, with the above information, we analyze the FPGA resources that are affected by the MBUs and reason whether or not each given MBU event might affect more than one domain within a TMR digital circuit and how often this might occur. Normally, with only single upset present in the configuration data, only one of the redundant circuit domains will be affected for fully redundant circuits. In the case of an MBU, there are potential cases where the affected bits cross TMR domains. For instance, if bits controlling the routing or logic from two different TMR domains are upset, TMR is defeated. We expect that not all MBU events will affect TMR. For example, if an MBU upset multiple bits within a single 4-input look-up table, only one TMR domain is affected and the MBU does not break the TMR scheme. Therefore, the frequency at which these critical MBUs occur may be quite small and design-dependent, resulting in a very low probability of TMR failure. III. RESULTS In this section we present the cross-section results from testing the Xilinx FPGAs. The data for the Virtex XCV1000 and Virtex-II XC2V250 has been fully analyzed. The data for the Virtex-II XC2V1000 and Virtex-4 XC4VLX25 parts has only been recently collected and is still being analyzed. Our preliminary analysis shows that the Virtex-II XC2V1000 and Virtex-4 results are consistent with the results from our Virtex-II XC2V250 experiments. The full paper on this research will provide a complete analysis for the other two parts, as well a breakdown of MBUs by resource type and a model for using these results to predict the number of MBUs in related FPGAs. Table II provides the frequencies for SEU events that cause four different sizes of upsets per event. With Virtex, only 96 MBU events were observed, all of which were two-bit events. With Virtex-II we observed a larger variety of events, including very infrequent fourbit events. Based on this collected data, MBUs are 27 times more common in Virtex-II than in the earlier

4 3 Virtex family. We note that the Virtex MBU data does not include any events that may have occurred in the Virtex BlockRAM content data while the data for all of the other FPGAs does include MBUs in this data. Since BlockRAM data accounts for less than 2.3% of the programming data bits within the Virtex XCV1000, this has only a small effect on the comparisons with other architectures. Table III illustrates the relative orientations of bits within the MBUs found. For Virtex, no MBUs were found within a column of configuration data, whereas with Virtex-II column adjacencies dominate the MBU bit orientations. These results reflect the geometrical properties of the Virtex-II layout, where adjacent bits within a single column of configuration data are closer physically than two bits that reside in adjacent columns. Device Adjacent Adjacent Diagonal Column Bits Row Bits Bits (% of Total) (% of Total) (% of Total) XCV (0.0%) (95.8%) (4.2%) XC2V (88.4%) (5.9%) (5.7%) XC2V1000 * (%) (%) (%) XC4VLX25 * (%) (%) (%) TABLE III FREQUENCY OF RELATIVE POSITIONS FOR UPSET EVENTS INDUCED BY PROTON RADIATION (63.3 MEV) FOR FOUR XILINX FPGAS IV. DISCUSSION In this section, we present a discussion of the results from Section III. First we present how to calculate cross-sections for single- and multi-bit upsets and then present the calculated cross-sections for the XCV1000 and XC2V250 parts. Next, we compare these results to SEFI cross-sections to show the relevance of single- and multi-bit upsets. We close this section with a discussion of how TMR is affected by MBUs. Since MBUs are more common for Virtex-II and later architectures, the usual equation for cross-section (σ = #of events/f luence) is skewed by MBU events. When using newer FPGA families, the cross-sections are more accurately determined as: σ device = σ 1 bit + σ 2 bit + σ 3 bit +... (1) = events 1 bit fluence + events 2 bit fluence +... (2) where the cross-sections of each event size (1-bit upsets, 2-bit upsets, etc.) are individually calculated. We used these formulas to calculate the cross-sections for singleand multi-bit upsets for the XC1000 and XC2V250 parts in Table IV. These results are within a factor of two with the published Xilinx results. In the full paper, we will extend these results to include cross-sections per resource type. Comparing the results of the previous section with the known SEFI cross-sections [9], [10], Table IV provides some interesting insight into the relevance of MBUs. These results show that, while still not as significant as SEUs, MBUs are more common than SEFIs the limiting factor to the effectiveness of TMR in Xilinx FPGAs and have the potential for affecting the performance of TMR schemes. Device σ 1 Bit σ MBU σ SEF I (cm 2 /device) (cm 2 /device) (cm 2 /device) XCV x x x10 13 (config SEFI) XC2V x x x10 13 XC2V1000 * 9.46x10 13 XC4VLX25 * Unknown TABLE IV COMPARISON OF THE SEU, MBU, AND SEFI SATURATION CROSS-SECTIONS FOR PROTONS (63.3 MEV) FOR FOUR XILINX FPGAS The full paper will provide the complete analysis of the effects of MBUs on TMR, but, we have seen one trend that suggests a way of avoiding these effects on TMR. During our analyses of Virtex and Virtex-II MBUs, we never saw an MBU that affected neighboring columns of similar or different resources (i.e., two adjacent CLB columns, an adjacent CLB and Block RAM interconnect column, etc.). This suggests that one approach to improving the reliability of TMR with respect to MBUs is to floorplan circuits such that no two TMR domains reside in the same resource column (i.e., only one TMR domain per CLB column, Block RAM column, etc.). This level of circuit design should be effective, though, it may not be necessary for many applications that do not require extreme levels of reliability. V. CONCLUSIONS AND FUTURE WORK In this paper we have presented a methodology for measuring the proton saturation cross-sections for MBUs in Xilinx FPGAs and described our proposed methodology for understanding the effects of MBUs on logiclevel TMR schemes used for FPGA applications. Current results for the Virtex-II 2V250 indicate that MBUs are on the rise in newer Xilinx FPGAs and are expected

5 4 Device Total 1-Bit 2-Bit 3-Bit 4-Bit Events Events Events Events Events (% of Total) (% of Total) (% of Total) (% of Total) XCV , , (99.96%) (0.04%) (0%) (0%) XC2V , , (98.76%) (1.22%) (0.01%) (0.0006%) XC2V1000 * (%) (%) (%) (%) XC4VLX25 * (%) (%) (%) (%) TABLE II FREQUENCY OF UPSET EVENTS INDUCED BY PROTON RADIATION (63.3 MEV) FOR FOUR XILINX FPGAS to be approximately 1% of the upsets induced by 63.3 MeV proton radiation. These results indicate that MBUs may be frequent enough to affect TMR reliability. The effectiveness of TMR in the presence of MBUs needs to be fully understood before commercially-based FPGAs can be used reliably in the space environment. The complete paper on this research will provide the MBU cross-sections for all three generations of Xilinx SRAM FPGAs. In the full paper, we will also suggest a method for predicting the MBU rates for related FPGAs through calculating the MBU frequency by resource type and appropriately scaling these frequencies for other devices based on the amount of resources each device has. The full paper will also analyze the potential of MBUs affecting the reliability of TMR-based FPGA designs and the frequency at which these effects may occur. [6] R. Koga, J. George, G. Swift, C. Yui, L. Edmonds, C. Carmichael, T. Langley, P. Murray, K. Lanes, and M. Napier, Comparison of Xilinx Virtex-II FPGA SEE sensitivities to protons and heavy ions, IEEE Transactions on Nuclear Science, vol. 51, no. 5, pp , October [7] G. M. Swift and S. M. Guertin, In-flight observations of multiple-bit upset in DRAMs, IEEE Transactions on Nuclear Science, vol. 47, no. 6, pp , December [8] R. Koga, K. B. Crawford, P. B. Grant, W. A. Kolasinski, D. L. Leung, T. J. Lie, D. C. Mayer, S. D. Pinkerton, and T. K. Tsubota, Single ion induced multiple-bit upset in IDT 256K SRAMs, in Proceedings of the Second European Conference on Radiation and its Effects on Components and Systems (RADECS), St. Malo, France, September 1993, pp [9] C. Carmichael, E. Fuller, J. Fabula, and F. D. Lima, Proton testing of SEU mitigation methods for the Virtex FPGA, in Proceedings of the IEEE Microelectronics Reliability and Qualification Workshop, Pasadena, CA, December [10] G. M. Swift, Virtex-II static SEU characterization, Xilinx Radiation Test Consortium, Tech. Rep. 1, REFERENCES [1] M. Caffrey, M. Echave, C. Fite, T. Nelson, A. Salazar, and S. Storms, A space-based reconfigurable radio, in Proceedings of the 5th Annual International Conference on Military and Aerospace Programmable Logic Devices (MAPLD), September 2002, p. A2. [2] C. Carmichael, Triple module redundancy design techniques for Virtex FPGAs, Xilinx Corporation, Tech. Rep., November 1, 2001, xapp197 (v1.0). [3] F. Lima, C. Carmichael, J. Fabula, R. Padovani, and R. Reis, A fault injection analysis of Virtex FPGA TMR design methodology, in Proceedings of the 6th European Conference on Radiation and its Effects on Components and Systems (RADECS 2001), [4] N. Rollins, M. Wirthlin, M. Caffrey, and P. Graham, Evaluating TMR techniques in the presence of single event upsets, in Proceedings fo the 6th Annual International Conference on Military and Aerospace Programmable Logic Devices (MAPLD). Washington, D.C.: NASA Office of Logic Design, AIAA, September 2003, p. P63. [5] G. M. Swift, S. Rezgui, J. George, C. Carmichael, M. Napier, J. Maksymowicz, J. Moore, A. Lesea, R. Koga, and T. F. Wrobel, Dynamic testing of xilinx Virtex-II field programmable gate array (FPGA) input/output blocks (IOBs), IEEE Transactions on Nuclear Science, vol. 51, no. 6, pp , December 2004.

Radiation-Induced Multi-Bit Upsets in Xilinx SRAM-Based FPGAs

Radiation-Induced Multi-Bit Upsets in Xilinx SRAM-Based FPGAs 1 Radiation-Induced Multi-Bit Upsets in Xilinx SRAM-Based FPGAs Heather Quinn, Paul Graham, Jim Krone, Michael Caffrey, Sana Rezgui, Carl Carmichael Abstract This paper provides a methodology for estimating

More information

Radiation Induced Multi bit Upsets in Xilinx SRAM Based FPGAs

Radiation Induced Multi bit Upsets in Xilinx SRAM Based FPGAs LA-UR-05-6725 Radiation Induced Multi bit Upsets in Xilinx SRAM Based FPGAs Heather Quinn, Paul Graham, Jim Krone, and Michael Caffrey Los Alamos National Laboratory Sana Rezgui and Carl Carmichael Xilinx

More information

Predicting On-Orbit SEU Rates

Predicting On-Orbit SEU Rates Brigham Young University BYU ScholarsArchive All Faculty Publications 2005-06-23 Predicting On-Orbit SEU Rates Keith S. Morgan keith.morgan@byu.net Michael J. Wirthlin wirthlin@ee.byu.edu Follow this and

More information

Soft error rate estimations of the Kintex-7 FPGA within the ATLAS Liquid Argon (LAr) Calorimeter

Soft error rate estimations of the Kintex-7 FPGA within the ATLAS Liquid Argon (LAr) Calorimeter Journal of Instrumentation OPEN ACCESS Soft error rate estimations of the Kintex-7 FPGA within the ATLAS Liquid Argon (LAr) Calorimeter To cite this article: M J Wirthlin et al View the article online

More information

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap EECS150 - Digital Design Lecture 26 Faults and Error Correction Nov. 26, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof.

More information

Reliability Techniques for Data Communication and Storage in FPGA-Based Circuits

Reliability Techniques for Data Communication and Storage in FPGA-Based Circuits Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2012-12-11 Reliability Techniques for Data Communication and Storage in FPGA-Based Circuits Yubo Li Brigham Young University -

More information

The Rosetta Experiment: Atmospheric Soft Error Rate Testing in Differing Technology FPGAs

The Rosetta Experiment: Atmospheric Soft Error Rate Testing in Differing Technology FPGAs 1 The Rosetta Experiment: Atmospheric Soft Error Rate Testing in Differing Technology FPGAs Austin Lesea, Saar Drimer, Joe Fabula, Carl Carmichael, and Peter Alfke Abstract Results are presented from real-time

More information

SEU-Induced Persistent Error Propagation in FPGAs

SEU-Induced Persistent Error Propagation in FPGAs Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2006-07-06 SEU-Induced Persistent Error Propagation in FPGAs Keith S. Morgan Brigham Young University - Provo Follow this and additional

More information

Radiation Effects on Electronics. Dr. Brock J. LaMeres Associate Professor Electrical & Computer Engineering Montana State University

Radiation Effects on Electronics. Dr. Brock J. LaMeres Associate Professor Electrical & Computer Engineering Montana State University Dr. Brock J. LaMeres Associate Professor Electrical & Computer Engineering Montana State University Research Statement Support the Computing Needs of Space Exploration & Science Computation Power Efficiency

More information

LA-UR- Title: Author(s): Submitted to: Approved for public release; distribution is unlimited.

LA-UR- Title: Author(s): Submitted to: Approved for public release; distribution is unlimited. LA-UR- Approved for public release; distribution is unlimited. Title: Author(s): Submitted to: Los Alamos National Laboratory, an affirmative action/equal opportunity employer, is operated by the University

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

On-Orbit FPGA SEU Mitigation and Measurement Experiments on the Cibola Flight Experiment Satellite

On-Orbit FPGA SEU Mitigation and Measurement Experiments on the Cibola Flight Experiment Satellite Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2011-02-07 On-Orbit FPGA SEU Mitigation and Measurement Experiments on the Cibola Flight Experiment Satellite William A. Howes

More information

Single Event Effects: SRAM

Single Event Effects: SRAM Scuola Nazionale di Legnaro 29/3/2007 Single Event Effects: SRAM Alessandro Paccagnella Dipartimento di Ingegneria dell Informazione Università di Padova alessandro.paccagnella@unipd.it OUTLINE Introduction

More information

A scalable analytic model for single event upsets in radiation-hardened field. programmable gate arrays in the PHENIX interaction region

A scalable analytic model for single event upsets in radiation-hardened field. programmable gate arrays in the PHENIX interaction region A scalable analytic model for single event upsets in radiation-hardened field programmable gate arrays in the PHENIX interaction region by Steven Eugene Skutnik A thesis submitted to the graduate faculty

More information

Measurements and Simulations of Single-Event Upsets in a 28-nm FPGA. Hans Calén, Tord Johansson, Karoly Makónyi, Pawel Marciniewski

Measurements and Simulations of Single-Event Upsets in a 28-nm FPGA. Hans Calén, Tord Johansson, Karoly Makónyi, Pawel Marciniewski Measurements and Simulations of Single-Event Upsets in a 28-nm FPGA, Per-Erik Tegnér Stockholm University, Sweden E-mail: markus.preston@fysik.su.se Hans Calén, Tord Johansson, Karoly Makónyi, Pawel Marciniewski

More information

Multiple Bit Upsets and Error Mitigation in Ultra Deep Submicron SRAMs

Multiple Bit Upsets and Error Mitigation in Ultra Deep Submicron SRAMs Micro-DC Microelectronics esearch Development Corporation Multiple Bit Upsets and Error Mitigation in Ultra Deep Submicron SAMs NSEC 2008 17 July 2008 D.G.Mavis 1, P.H.Eaton 1, M.D.Sibley 1,.C.Lacoe 2,

More information

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types ECE-470 Digital Design II Memory Test Motivation Semiconductor memories are about 35% of the entire semiconductor market Memories are the most numerous IPs used in SOC designs Number of bits per chip continues

More information

CQNl_" RESPONSE TO 100% INTERNAL QUANTUM EFFICIENCY SILICON PHOTODIODES TO LOW ENERGY ELECTRONS AND IONS

CQNl_ RESPONSE TO 100% INTERNAL QUANTUM EFFICIENCY SILICON PHOTODIODES TO LOW ENERGY ELECTRONS AND IONS I ' 4 46 Title: CQNl_"- 461123-1.2 RESPONSE TO 100% INTERNAL QUANTUM EFFICIENCY SILICON PHOTODIODES TO LOW ENERGY ELECTRONS AND IONS A uthor(s): H. 0. Funsten D. M. Suszcynsky R. Korde S. M. Ritzau Submitted

More information

Effectiveness and failure modes of error correcting code in industrial 65 nm CMOS SRAMs exposed to heavy ions

Effectiveness and failure modes of error correcting code in industrial 65 nm CMOS SRAMs exposed to heavy ions NUCLEAR SCIENCE AND TECHNIQUES 25, 45 (24) Effectiveness and failure modes of error correcting code in industrial 65 nm CMOS SRAMs exposed to heavy ions TONG Teng ( 童腾 ),, 2 WANG Xiao-Hui ( 王晓辉 ),, 2 ZHANG

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

Virtual Irradiation: Single Event Rate Prediction for Advanced Technologies

Virtual Irradiation: Single Event Rate Prediction for Advanced Technologies Virtual Irradiation: Single Event Rate Prediction for Advanced Technologies Kevin Warren 1, Andrew Sternberg 1, Brian Sierawski 1, Robert Reed 2, Robert Weller 2, Carl Carmichael 3, Gary Swift 3, JL DeJong

More information

K.I. Tapero RISI,

K.I. Tapero RISI, K.I. Tapero RISI, tapero@bk.ru K.I. Tapero, SERESSA 2015 1 Introduction Basic requirements for SEE testing Specifics of the testing for different SEE types Choosing the SEE test flow K.I. Tapero, SERESSA

More information

Electrical modeling of the photoelectric effect induced by a pulsed laser applied to an SRAM cell

Electrical modeling of the photoelectric effect induced by a pulsed laser applied to an SRAM cell Electrical modeling of the photoelectric effect induced by a pulsed laser applied to an SRAM cell A. Sarafianos, C. Roscian, Jean-Max Dutertre, M. Lisart, A. Tria To cite this version: A. Sarafianos, C.

More information

Impact of Ion Energy and Species on Single Event Effect Analysis

Impact of Ion Energy and Species on Single Event Effect Analysis Impact of Ion Energy and Species on Single Event Effect Analysis Vanderbilt University Institute for Space & Defense Electronics R. A. Reed, R. A. Weller, M. H. Mendenhall K. M. Warren D. R. Ball, J. A.

More information

R&T PROTON DIRECT IONIZATION

R&T PROTON DIRECT IONIZATION R&T PROTON DIRECT IONIZATION Assessment of the Direct Ionization Contribution to the Proton SEU Rate N. Sukhaseum,, J. Guillermin,, N. Chatry, F. Bezerra and R. Ecoffet TRAD, Tests & Radiations Introduction

More information

Application of Spectral Summing to Suspect Low Level Debris Drums at Los Alamos National Laboratory

Application of Spectral Summing to Suspect Low Level Debris Drums at Los Alamos National Laboratory LA-UR- 10-03587 Approved for public release; distribution is unlimited. Title: Application of Spectral Summing to Suspect Low Level Debris Drums at Los Alamos National Laboratory Author(s): K.M. Gruetzmacher,

More information

LA-UR Approved for public release; distribution is unlimited.

LA-UR Approved for public release; distribution is unlimited. LA-UR-16-24091 Approved for public release; distribution is unlimited. Title: Author(s): Going beyond generalized least squares algorithms for estimating nuclear data observables Neudecker, Denise Helgesson,

More information

APA750 and A54SX32A LANSCE Neutron Test Report. White Paper

APA750 and A54SX32A LANSCE Neutron Test Report. White Paper APA750 and A54SX32A LANSCE Neutron Test Report White Paper December 2003 Table of Contents Executive Summary............................................................... 3 Background and Test Objectives.....................................................

More information

Application Note to inform ANVO Customers about the Soft Error Rate Phenomenon which could potentially occur in our nvsrams.

Application Note to inform ANVO Customers about the Soft Error Rate Phenomenon which could potentially occur in our nvsrams. ANVO-SYSTEMS DRESDEN ADVANCED NON-VOLATILE SYSTEMS AN2003 Summary Application Note to inform ANVO Customers about the Phenomenon which could potentially occur in our nvsrams. Contents Summary... 1 Contents...

More information

Irradiation results. SEU Test setup Cross section measurement Error estimate per run. K.Røed, D.Röhrich, K. Ullaland University of Bergen, Norway

Irradiation results. SEU Test setup Cross section measurement Error estimate per run. K.Røed, D.Röhrich, K. Ullaland University of Bergen, Norway Irradiation results K.Røed, D.Röhrich, K. Ullaland University of Bergen, Norway B.Skaali, J.Wikne, E.Olsen University of Oslo, Norway V.Lindenstruth,H.Tilsner S.Martens KIP, University of Heidelberg, Germany

More information

Kintex-7 Irradiation, test bench and results

Kintex-7 Irradiation, test bench and results TWEPP 2016 Topical Workshop on Electronics for Particle Physics Kintex-7 Irradiation, test bench and results PHYSICS Florin MACIUC Mihai STRATICIUC HARDWARE Vlad-Mihai PLĂCINTĂ Lucian-Nicolae COJOCARIU

More information

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory

Chapter Overview. Memory Classification. Memory Architectures. The Memory Core. Periphery. Reliability. Memory SRAM Design Chapter Overview Classification Architectures The Core Periphery Reliability Semiconductor Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable

More information

Reduced-Area Constant-Coefficient and Multiple-Constant Multipliers for Xilinx FPGAs with 6-Input LUTs

Reduced-Area Constant-Coefficient and Multiple-Constant Multipliers for Xilinx FPGAs with 6-Input LUTs Article Reduced-Area Constant-Coefficient and Multiple-Constant Multipliers for Xilinx FPGAs with 6-Input LUTs E. George Walters III Department of Electrical and Computer Engineering, Penn State Erie,

More information

M. S. Krick D. G. Langner J. E. Stewart.

M. S. Krick D. G. Langner J. E. Stewart. LA-UR-97-3427 Title: Energy-Dependent Bias in Plutonium Verification Measurements Using Thermal Neutron Multiplicity Counters Author(s): M. S. Krick D. G. Langner J. E. Stewart Submitted to: http://lib-www.lanl.gov/la-pubs/00412753.pdf

More information

Neutron Beam Testing Methodology and Results for a Complex Programmable Multiprocessor SoC

Neutron Beam Testing Methodology and Results for a Complex Programmable Multiprocessor SoC Masthead Logo Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2019-03-01 Neutron Beam Testing Methodology and Results for a Complex Programmable Multiprocessor SoC Jordan Daniel

More information

Stability of Nuclear Forces Versus Weapons of Mass Destruction. Gregory H. Canavan

Stability of Nuclear Forces Versus Weapons of Mass Destruction. Gregory H. Canavan LA-UR-97-4987 Title: Stability of Nuclear Forces Versus Weapons of Mass Destruction Author(s): Gregory H. Canavan Submitted to: http://lib-www.lanl.gov/la-pubs/00412840.pdf Los Alamos National Laboratory,

More information

Surrogate Guderley Test Problem Definition

Surrogate Guderley Test Problem Definition LA-UR-12-22751 Approved for public release; distribution is unlimited. Title: Surrogate Guderley Test Problem Definition Author(s): Ramsey, Scott D. Shashkov, Mikhail J. Intended for: Report Disclaimer:

More information

FAULT MODELS AND YIELD ANALYSIS FOR QCA-BASED PLAS 1

FAULT MODELS AND YIELD ANALYSIS FOR QCA-BASED PLAS 1 FAULT MODELS AND YIELD ANALYSIS FOR QCA-BASED PLAS Michael Crocker, X. Sharon Hu, and Michael Niemier Department of Computer Science and Engineering University of Notre Dame Notre Dame, IN 46556, USA Email:

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

Si, X. X. Xi, and Q. X. JIA

Si, X. X. Xi, and Q. X. JIA LA-UR-01-1929 Approved for public release; distribution is unlimited. Title: DIELECTRIC PROPERTIES OF Ba0.6Sr0.4TiO3 THIN FILMS WITH VARIOUS STRAIN STATES Author(s): B. H. PARK, E. J. PETERSON, J. LEE,

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application 2011 11th Non-Volatile Memory Technology Symposium @ Shanghai, China, Nov. 9, 20112 MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application Takahiro Hanyu 1,3, S. Matsunaga 1, D. Suzuki

More information

Exploiting Partial Dynamic Reconfiguration for On-Line On-Demand Detection of Permanent Faults in SRAM-based FPGAs

Exploiting Partial Dynamic Reconfiguration for On-Line On-Demand Detection of Permanent Faults in SRAM-based FPGAs Università di Pisa DIPARTIMENTO DI INGEGNERIA DELL INFORMAZIONE Laurea Magistrale in Ingegneria Informatica Exploiting Partial Dynamic Reconfiguration for On-Line On-Demand Detection of Permanent Faults

More information

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs *

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Hans T. Heineken and Wojciech Maly Department of Electrical and Computer Engineering Carnegie Mellon

More information

Scheduling Considerations for Voter Checking in FPGA-based TMR Systems

Scheduling Considerations for Voter Checking in FPGA-based TMR Systems Scheduling Considerations for Voter Checking in FPGA-based TMR Systems Nguyen T.H. Nguyen Ediz Cetin 2 Oliver Diessel University of New South Wales, Australia {h.nguyentran,o.diessel}@unsw.edu.au 2 Macquarie

More information

Further Investigation of Spectral Temperature Feedbacks. Drew E. Kornreich TSA-7, M S F609

Further Investigation of Spectral Temperature Feedbacks. Drew E. Kornreich TSA-7, M S F609 LA URApproved for public release; d/strfbution is unlimited. Title: Author@): Submitted to Further Investigation of Spectral Temperature Feedbacks Drew E. Kornreich TSA7, M S F609 Transactions of the American

More information

The Growing Impact of Atmospheric Radiation. Effects on Semiconductor Devices and the. Associated Impact on Avionics Suppliers

The Growing Impact of Atmospheric Radiation. Effects on Semiconductor Devices and the. Associated Impact on Avionics Suppliers Atmospheric Radiation Effects Whitepaper Prepared by: Ken Vranish KVA Engineering, Inc. (616) 745-7483 Introduction The Growing Impact of Atmospheric Radiation Effects on Semiconductor Devices and the

More information

Los Alamos NATIONAL LABORATORY LA-UR Double Shock Initiation of the HMX Based Explosive EDC-37

Los Alamos NATIONAL LABORATORY LA-UR Double Shock Initiation of the HMX Based Explosive EDC-37 LA-UR- 01-3383 Approved for public release; distribution is unlimited. Title: Double Shock Initiation of the HMX Based Explosive EDC-37 Author(s): R. L. Gustavsen, S. A. Sheffield, and R. R. Alcon Los

More information

Estec final presentation days 2018

Estec final presentation days 2018 Estec final presentation days 2018 Background VESPER Facility Conclusion & Outlook Jovian environment Radiation Effects VESPER history VESPER status Overview Experimental Results External Campaign Summary

More information

Programmable Logic Devices

Programmable Logic Devices Programmable Logic Devices Mohammed Anvar P.K AP/ECE Al-Ameen Engineering College PLDs Programmable Logic Devices (PLD) General purpose chip for implementing circuits Can be customized using programmable

More information

SDR Forum Technical Conference 2007

SDR Forum Technical Conference 2007 RADIATION EFFECTS ON ADVANCED MICROELECTRONICS FROM THE SPACE AND NUCLEAR WEAPON GENERATED RADIATION ENVIRONMENTS AND THEIR IMPACT ON SOFTWARE DEFINED RADIO (SDR) DESIGN J.J. Sheehy (Amtec Corporation,

More information

A Few-Group Delayed Neutron Model Based on a Consistent Set of Decay Constants. Joann M. Campbell Gregory D. Spriggs

A Few-Group Delayed Neutron Model Based on a Consistent Set of Decay Constants. Joann M. Campbell Gregory D. Spriggs Title: Author(s): Submitted to: A Few-Group Delayed Neutron Model Based on a Consistent Set of Decay Constants Joann M. Campbell Gregory D. Spriggs American Nuclear S o c A y 1998 Summer Meeting June 7-11,1998

More information

(Self-)reconfigurable Finite State Machines: Theory and Implementation

(Self-)reconfigurable Finite State Machines: Theory and Implementation (Self-)reconfigurable Finite State Machines: Theory and Implementation Markus Köster, Jürgen Teich University of Paderborn Computer Engineering Laboratory Paderborn, Germany email: {koester, teich}@date.upb.de

More information

VLSI Design I. Defect Mechanisms and Fault Models

VLSI Design I. Defect Mechanisms and Fault Models VLSI Design I Defect Mechanisms and Fault Models He s dead Jim... Overview Defects Fault models Goal: You know the difference between design and fabrication defects. You know sources of defects and you

More information

FPGA-Based Radiation Tolerant Computing. Dr. Brock J. LaMeres Associate Professor Electrical & Computer Engineering Montana State University

FPGA-Based Radiation Tolerant Computing. Dr. Brock J. LaMeres Associate Professor Electrical & Computer Engineering Montana State University Dr. Brock J. LaMeres Associate Professor Electrical & Computer Engineering Montana State University Outline 1. Overview of Montana State University Vitals, Highlights of Interest 2. Research Statement

More information

First Evaluation of Neutron Induced Single Event Effects on the CMS Barrel Muon Electronics

First Evaluation of Neutron Induced Single Event Effects on the CMS Barrel Muon Electronics First Evaluation of Neutron Induced Single Event Effects on the CMS Barrel Muon Electronics S. Agosteo 1, L. Castellani 2, G. D Angelo 1, A. Favalli 1, I. Lippi 2, R. Martinelli 2 and P. Zotto 3 1) Dip.

More information

Administrative Stuff

Administrative Stuff EE141- Spring 2004 Digital Integrated Circuits Lecture 30 PERSPECTIVES 1 Administrative Stuff Homework 10 posted just for practice. No need to turn in (hw 9 due today). Normal office hours next week. HKN

More information

Correlation of Life Testing to Accelerated Soft Error Testing. Helmut Puchner Cypress Semiconductor

Correlation of Life Testing to Accelerated Soft Error Testing. Helmut Puchner Cypress Semiconductor Correlation of Life Testing to Accelerated Soft Error Testing Helmut Puchner Cypress Semiconductor IEEE 3 rd ANNUAL SER WORKSHOP, SAN JOSE, 2011 Agenda INTRODUCTION SOURCES OF RADIATION MEASUREMENT TECHNIQUES

More information

Radiation Effects in Nano Inverter Gate

Radiation Effects in Nano Inverter Gate Nanoscience and Nanotechnology 2012, 2(6): 159-163 DOI: 10.5923/j.nn.20120206.02 Radiation Effects in Nano Inverter Gate Nooshin Mahdavi Sama Technical and Vocational Training College, Islamic Azad University,

More information

Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate

Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate Harmander Singh Deogun, Dennis Sylvester, David Blaauw Department of EECS, University of Michigan, Ann Arbor, MI, US 48109 {hdeogun,dmcs,blaauw@umich.edu}

More information

LEADING THE EVOLUTION OF COMPUTE MARK KACHMAREK HPC STRATEGIC PLANNING MANAGER APRIL 17, 2018

LEADING THE EVOLUTION OF COMPUTE MARK KACHMAREK HPC STRATEGIC PLANNING MANAGER APRIL 17, 2018 LEADING THE EVOLUTION OF COMPUTE MARK KACHMAREK HPC STRATEGIC PLANNING MANAGER APRIL 17, 2018 INTEL S RESEARCH EFFORTS COMPONENTS RESEARCH INTEL LABS ENABLING MOORE S LAW DEVELOPING NOVEL INTEGRATION ENABLING

More information

EECS 579: Logic and Fault Simulation. Simulation

EECS 579: Logic and Fault Simulation. Simulation EECS 579: Logic and Fault Simulation Simulation: Use of computer software models to verify correctness Fault Simulation: Use of simulation for fault analysis and ATPG Circuit description Input data for

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 21: April 4, 2017 Memory Overview, Memory Core Cells Penn ESE 570 Spring 2017 Khanna Today! Memory " Classification " ROM Memories " RAM Memory

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Electron-induced Single-Event Upsets in integrated memory device

Electron-induced Single-Event Upsets in integrated memory device Electron-induced Single-Event Upsets in integrated memory device Pablo Caron 2 nd année DPHY Christophe Inguimbert, ONERA, DPHY Laurent Artola, ONERA, DPHY Guillaume Hubert, ONERA, DPHY Robert Ecoffet,

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

0STI. E. Hammerberg, XNH MD SIMULATIONS OF DUSTY PLASMA CRYSTAL FORMATION: PRELIMINARY RESULTS M. J. S. Murillo, XPA

0STI. E. Hammerberg, XNH MD SIMULATIONS OF DUSTY PLASMA CRYSTAL FORMATION: PRELIMINARY RESULTS M. J. S. Murillo, XPA LA-UR- - 9 7 4 16 3 A proved for public release; dpstnbution is unlimited. Title: Author(s): Submitted to MD SIMULATIONS OF DUSTY PLASMA CRYSTAL FORMATION: PRELIMINARY RESULTS M. J. B. G. W. D. S. Murillo,

More information

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Uppoju Shiva Jyothi M.Tech (ES & VLSI Design), Malla Reddy Engineering College For Women, Secunderabad. Abstract: Quantum cellular automata

More information

Introduction. Simulation methodology. Simulation results

Introduction. Simulation methodology. Simulation results Introduction Simulation methodology Simulation results Conclusion and Perspectives Introduction Simulation methodology Simulation results Conclusion and Perspectives Radiation induced particles Solar Flare

More information

Protection and characterization of an open source soft core against radiation effects

Protection and characterization of an open source soft core against radiation effects POLITECNICO DI TORINO Degree course in Electronic Engineering Master Thesis Protection and characterization of an open source soft core against radiation effects CERN-THESIS-2018-028 09/04/2018 Advisor

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

Radiation Effect Modeling

Radiation Effect Modeling Radiation Effect Modeling The design of electrical systems for military and space applications requires a consideration of the effects of transient and total dose radiation on system performance. Simulation

More information

FPGA Reliability and the Sunspot Cycle

FPGA Reliability and the Sunspot Cycle FPGA Reliability and the Sunspot Cycle September 2011 Table of Contents Abstract/Executive Summary........................................................ 3 Introduction......................................................................

More information

Terminology and Concepts

Terminology and Concepts Terminology and Concepts Prof. Naga Kandasamy 1 Goals of Fault Tolerance Dependability is an umbrella term encompassing the concepts of reliability, availability, performability, safety, and testability.

More information

Digital Integrated Circuits A Design Perspective

Digital Integrated Circuits A Design Perspective Semiconductor Memories Adapted from Chapter 12 of Digital Integrated Circuits A Design Perspective Jan M. Rabaey et al. Copyright 2003 Prentice Hall/Pearson Outline Memory Classification Memory Architectures

More information

TABLE I EXPECTED PARTICLE FLUX. Situation Flux (cm 2 s 1 ) average worst week worst day worst 5 minutes 1.

TABLE I EXPECTED PARTICLE FLUX. Situation Flux (cm 2 s 1 ) average worst week worst day worst 5 minutes 1. Necessity of Fault Tolerance Techniques in Xilinx Kintex 7 FPGA Devices for Space Missions: A Case Study Louis van Harten, Roel Jordans, and Hamid Pourshaghaghi Department of Electrical Engineering, Eindhoven

More information

3 Logic Function Realization with MSI Circuits

3 Logic Function Realization with MSI Circuits 3 Logic Function Realization with MSI Circuits Half adder A half-adder is a combinational circuit with two binary inputs (augund and addend bits) and two binary outputs (sum and carry bits). It adds the

More information

Neutron-SER Modeling & Simulation for 0.18pm CMOS Technology

Neutron-SER Modeling & Simulation for 0.18pm CMOS Technology Neutron-SER Modeling & Simulation for 0.18pm CMOS Technology Changhong Dai, Nagib Hakim, Steve Walstra, Scott Hareland, Jose Maiz, Scott Yu, and Shiuh-Wuu Lee Intel Corporation, Santa Clara, California,

More information

Quantitative evaluation of Dependability

Quantitative evaluation of Dependability Quantitative evaluation of Dependability 1 Quantitative evaluation of Dependability Faults are the cause of errors and failures. Does the arrival time of faults fit a probability distribution? If so, what

More information

1 Brief Introduction to Quantum Mechanics

1 Brief Introduction to Quantum Mechanics CMSC 33001: Novel Computing Architectures and Technologies Lecturer: Yongshan Ding Scribe: Jean Salac Lecture 02: From bits to qubits October 4, 2018 1 Brief Introduction to Quantum Mechanics 1.1 Quantum

More information

SIMULATION OF SPACE RADIATION FOR NANOSATELLITES IN EARTH ORBIT *

SIMULATION OF SPACE RADIATION FOR NANOSATELLITES IN EARTH ORBIT * Romanian Reports in Physics, Vol. 64, No. 1, P. 302 307, 2012 SIMULATION OF SPACE RADIATION FOR NANOSATELLITES IN EARTH ORBIT * M.F. TRUȘCULESCU 1,2, O. SIMA 1 1 University of Bucharest, Physics Department,

More information

Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder

Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder M.S.Navya Deepthi M.Tech (VLSI), Department of ECE, BVC College of Engineering, Rajahmundry. Abstract: Quantum cellular automata (QCA) is

More information

DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA

DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA Nikitha.S.Paulin 1, S.Abirami 2, Prabu Venkateswaran.S 3 1, 2 PG students / VLSI

More information

SEMICONDUCTOR MEMORIES

SEMICONDUCTOR MEMORIES SEMICONDUCTOR MEMORIES Semiconductor Memory Classification RWM NVRWM ROM Random Access Non-Random Access EPROM E 2 PROM Mask-Programmed Programmable (PROM) SRAM FIFO FLASH DRAM LIFO Shift Register CAM

More information

New Delhi & Affiliated to VTU, Belgavi ) Oorgaum, Kolar Dist ,Karnataka

New Delhi & Affiliated to VTU, Belgavi ) Oorgaum, Kolar Dist ,Karnataka Design and Implementation of Logic Gates and Adder Circuits on FPGA Using ANN Neelu Farha 1., Ann Louisa Paul J 2., Naadiya Kousar L S 3., Devika S 4., Prof. Ruckmani Divakaran 5 1,2,3,4,5 Department of

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Fault Tolerant Computing ECE 655

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Fault Tolerant Computing ECE 655 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Fault Tolerant Computing ECE 655 Part 1 Introduction C. M. Krishna Fall 2006 ECE655/Krishna Part.1.1 Prerequisites Basic courses in

More information

Quantitative evaluation of Dependability

Quantitative evaluation of Dependability Quantitative evaluation of Dependability 1 Quantitative evaluation of Dependability Faults are the cause of errors and failures. Does the arrival time of faults fit a probability distribution? If so, what

More information

Introduction to Reliability Simulation with EKV Device Model

Introduction to Reliability Simulation with EKV Device Model Introduction to Reliability Simulation with Device Model Benoît Mongellaz Laboratoire IXL ENSEIRB - Université Bordeaux 1 - UMR CNRS 5818 Workshop november 4-5th, Lausanne 1 Motivation & Goal Introduced

More information

Radiation Effect Modeling

Radiation Effect Modeling Radiation Effect Modeling The design of electrical systems for military and space applications requires a consideration of the effects of transient and total dose radiation on system performance. Simulation

More information

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya International Journal of Scientific Research in Computer Science, Engineering and Information Technology 2018 IJSRCSEIT Volume 3 Issue 5 ISSN : 2456-3307 Design and Implementation of Carry Look Ahead Adder

More information

2. Accelerated Computations

2. Accelerated Computations 2. Accelerated Computations 2.1. Bent Function Enumeration by a Circular Pipeline Implemented on an FPGA Stuart W. Schneider Jon T. Butler 2.1.1. Background A naive approach to encoding a plaintext message

More information

VORAGO TECHNOLOGIES. Cost-effective rad-hard MCU Solution for SmallSats Ross Bannatyne, VORAGO Technologies

VORAGO TECHNOLOGIES. Cost-effective rad-hard MCU Solution for SmallSats Ross Bannatyne, VORAGO Technologies VORAGO TECHNOLOGIES Cost-effective rad-hard MCU Solution for SmallSats Ross Bannatyne, VORAGO Technologies V O R A G O Te c h n o l o g i e s Privately held fabless semiconductor company headquartered

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY

STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY E.N.Ganesh 1 / V.Krishnan 2 1. Professor, Rajalakshmi Engineering College 2. UG Student, Rajalakshmi Engineering College ABSTRACT This paper

More information

A Novel LUT Using Quaternary Logic

A Novel LUT Using Quaternary Logic A Novel LUT Using Quaternary Logic 1*GEETHA N S 2SATHYAVATHI, N S 1Department of ECE, Applied Electronics, Sri Balaji Chockalingam Engineering College, Arani,TN, India. 2Assistant Professor, Department

More information

Reducing power in using different technologies using FSM architecture

Reducing power in using different technologies using FSM architecture Reducing power in using different technologies using FSM architecture Himani Mitta l, Dinesh Chandra 2, Sampath Kumar 3,2,3 J.S.S.Academy of Technical Education,NOIDA,U.P,INDIA himanimit@yahoo.co.in, dinesshc@gmail.com,

More information

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1 Ch 9. Sequential Logic Technologies Technology Contemporary Logic Design Overview Basic Sequential Logic Components FSM Design with Counters FSM Design with Programmable Logic FSM Design with More Sophisticated

More information

The Radiological Hazard of Plutonium Isotopes and Specific Plutonium Mixtures

The Radiological Hazard of Plutonium Isotopes and Specific Plutonium Mixtures LA-13011 The Radiological Hazard of Plutonium Isotopes and Specific Plutonium Mixtures Los Alamos NATIONAL LABORATORY Los Alamos National Laboratory is operated by the University of California for the

More information

Los Alamos IMPROVED INTRA-SPECIES COLLISION MODELS FOR PIC SIMULATIONS. Michael E. Jones, XPA Don S. Lemons, XPA & Bethel College Dan Winske, XPA

Los Alamos IMPROVED INTRA-SPECIES COLLISION MODELS FOR PIC SIMULATIONS. Michael E. Jones, XPA Don S. Lemons, XPA & Bethel College Dan Winske, XPA , LA- U R-= Approved for public release; distribution is unlimited. m Title: Author(s) Submitted tc IMPROED INTRA-SPECIES COLLISION MODELS FOR PIC SIMULATIONS Michael E. Jones, XPA Don S. Lemons, XPA &

More information

Single Event Latchup in 65 nm CMOS SRAMs

Single Event Latchup in 65 nm CMOS SRAMs Single Event Latchup in 65 nm CMOS SRAMs J. M. Hutson 1, A. D. Tipton 1, J. A. Pellish 1, G. Boselli 2, M. A. Xapsos 3, H. Kim 3, M. Friendlich 3, M. Campola 3, S. Seidleck 3, K. LaBel 3, A. Marshall 2,

More information