2017 IEEE 67th Electronic Components and Technology Conference

Size: px
Start display at page:

Download "2017 IEEE 67th Electronic Components and Technology Conference"

Transcription

1 2017 IEEE 67th Electronic Components and Technology Conference A Unique Temporary Bond Solution Based on a Polymeric Material Tacky at Room Temperature and Highly Thermally Resistant Application Extension from 3D-SIC to FO-WLP Alain Phommahaxay, Goedele Potoms, Julien Bertheau, Pieter Bex, Fabrice Duval, Arnita Podpod, Teng Wang, Greet Verbinnen, Gerald Beyer, Erik Sleeckx, Eric Beyne imec Kapeldreef 75 Leuven, Belgium phomma@imec.be Atsushi Nakamura Fujifilm Electronic Materials Europe N.V. Zwijndrecht, Belgium Yoshitaka Kamochi Fujifilm Corporation Shizuoka, Japan Abstract Among the technological developments pushed by the adoption of Through Silicon Vias and 3D Stacked IC technologies, wafer thinning on a temporary carrier has become a critical element in device processing over the past years. First generation of adhesive materials enabled the integration of the first devices at the expense of capping the thermal budget. Hence new generation materials are being explored to overcome this limitation and further bring the process complexity down. Keywords: Wafer bonding, Wafer thinning, strength, Temporary bond adhesive, Thin wafer handling, Wafer molding, Die attachment I. INTRODUCTION Thin wafer handling has become one of the cornerstones of 3D Stacked IC manufacturing over the past years. Bonding a device wafer to a temporary process carrier with adhesive is one of the process steps that has been studied extensively. First generation materials, including thermoplastics, laser-degradable or chemically dissolvable glues, are readily available on the market and already enable early 3D demonstrator processing. Yet, when it comes to building additional structures on the wafer backside after thinning, most of the usual process steps involving high temperature have to be adapted. This is even more critical for processes performed in deep vacuum environment (ex: PVD, CVD). This combination of heat and vacuum environment pose a great challenge for temporary bond materials. Up to now backside processing temperatures have been tailored and lowered to match the properties of the various temporary bond adhesives [1-2]. Hence processes are usually kept below 250 C in air or even below 200 C when dealing with deposition in vacuum. Imec and Fujifilm have collaborated over the past few years in the development of a novel multifunctional polymeric material that incorporate an adhesive and release function combined, thus enabling process complexity reduction. First demonstration of a via-middle integration flow including high topography Cu pillar has been previously presented [3]. Since then, further work has been carried out in order to answer the need for higher temperature resistant materials but also integrate the temporary material with microbumps. The present contribution will therefore deal with the thermal performance of such temporary bond solution and the process impact on scaled down microbumps. In a second part, some unique features such as the adhesion at room temperature will be also discussed. One can envision the reuse the material to Fan Out-WLP application. II. THINNING PROCESS FOR 3D-SIC Among the different possible 3D integration schemes, this study will focus on the via-middle approach followed at imec where Cu TSVs are formed during the BEOL process as illustrated in Fig. 1 [4]. After formation of the TSVs, and completion of the front side process as shown on a), the wafer is edge trimmed (situation b)) to avoid wafer edge chipping during subsequent grinding. The device wafer is then bonded to a carrier wafer with temporary adhesive as shown in step c). The TSVs are subsequently revealed from the wafer backside after thinning by grinding (step d)). Backside layers, including passivation, are then built on top of the thinned device substrate. Upon completion of the process, the bonded stack is then attached to a dicing tape for mechanical carrier debonding (steps e) and f)). Finally, the remaining adhesive is removed in step g) by solvent cleaning or mechanical detaping as previously demonstrated [3] /17 $ IEEE DOI /ECTC

2 a) Device wafer after frontside processing b) Wafer edge trimming The device wafers have been then bonded to the silicon carrier wafers using the Suss CB300 bond chamber with temperature ranging from 200 C to 260 C and force in the 20kN range. The bond quality has been subsequently assessed using a Scanning Acoustic Microscope, AutoWafer300 from PVA Tepla Analytical Systems. The results depicted in Fig. 2. indicate that void-free bonding has been achieved. The bond uniformity and Total Thickness Variation, TTV of the adhesive layer, has then be measured by IR-Time of Flight using a Semdex 302 from Sentronics Metrology. The radial plot of adhesive thickness can be found in Fig. 3. A TTV value of about 2μm has been achieved. c) Temporary wafer bonding d) Wafer thinning and backside processing e) Transfer to dicing tape Figure 2. Scanning Acoustic Microscope inspection after bonding f) debonding g) removal Figure 1. Schematic process flow from wafer thinning to debonding In this study, we are focusing on processing wafers with low topography (i.e. microbumps with height below 10μm) contrary to the previous contribution with 50μm tall Cu pillars [3], hence a thinner adhesive layer with target thickness of 30μm has been used. This layer is first spun on top of a silicon carrier substrate using the Suss SC300 coat module of an XBS300 bond cluster. Thickness (um) Figure 3. Total Thickness Variation of the adhesive layer after wafer bonding 1830

3 a) After 5min at 260 C in vacuum (10-4 mbar) Figure 4. Total Thickness Variation of the device wafer after thinning to 50μm The device wafers have been subsequently thinned to 50μm by mechanical grinding. TTV of the substrate has been measured again by IR-TOF method. The measurement across a 300mm wafer is shown in Fig. 4. TTV value of about 2μm has been reached. III. THERMAL SURVIVAVILITY VERIFICATION b) After 5min at 300 C in vacuum (10-4 mbar) Pressure (mbar) Temperature ( C) In order to assess the thermal survivability and performance of the temporary bond material, full thickness bonded wafers have been submitted to a heat cycle in vacuum using the Suss CB300 bond chamber. Following the previous contribution [3], where the materials have shown no defect at 200 C in mild vacuum conditions (in mbar range) and 250 C at atmospheric pressure, the current study is pushing it further. Indeed, the wafers have been submitted to deeper vacuum levels in the 10-4 mbar range and to temperature ranging from 260 C to 350 C as shown in Fig. 5. For all cases, the SAM inspection in Fig. 6 does not reveal any degradation of the temporary bond adhesive. c) After 5min at 350 C in vacuum (10-4 mbar) Figure 6. Scanning Acoustic Microscope inspection after various thermal treatment in vacuum Figure 5. Temperature and pressure profile used to assess the thermal and vacuum stability of the material 1831

4 IV. DEBONDING IMPACT ON MICROBUMPS In order to further characterize the material and process impact on frontside microbumps, selected wafers have been pre-inspected, bonded with adhesive and then debonded. Then adhesive has been subsequently detaped from the device wafer. The microbumps have been finally reinspected by Scanning Electron Microscope. The photographs presented in Table I, for two different type of microbumps: with and without tin solder, do not demonstrate significant process impact on the microbumps morphology. Die Die Die Figure 7. Schematic of die placement on temporary silicon carrier TABLE I. MICROBUMPS BEFORE AND AFTER BONDING & DEBONDING Bump type Before temporary bonding After debond & adhesive removal by detaping Cu 8.6μm diameter 20μm pitch Figure 8. Photograph carrier populated with dies on a temporary adhesive Cu/Ni/Sn 8.6μm diameter 20μm pitch V. MATERIAL TECHNOLOGY EXTENSION TO FO-WLP In order to further characterize the material bonding properties, various experiments have been conducted by Die to Wafer (D2W) attachment on top of the temporary adhesive as depicted in Fig. 7. Force and temperature have been varied during the placement of 5mm x 5mm dies on wafer using a Besi Datacon 8800 flip chip bonder. The die attachment conditions have been summarized in Table II. A typical picture of attached die on wafer is shown in Fig. 8. The adhesion for each attachment condition has been further assessed by shearing the dies off from the carrier substrate. The results have been summarized in Fig. 9 and show the impact of the temperature and force parameters on the die strength. TABLE II. DIE ATTACHEMENT CONDITIONS Parameter Condition Time 1s Force 10 to 30N Temperature 20 to 200 C One can notice a remarkable phenomenon in Fig. 9 a). Indeed, all temperature conditions have led to dies being attached to the adhesive substrate with shear strength above 20N. Room temperature attachment is a pretty unique feature considering that the material is rated to withstand process temperature above 200 C. Tacking dies onto common adhesive material would usually require partial remelt of the material and therefore a high temperature process, typically above 100 C. The adhesion strength of the Fujifilm temporary adhesive is also surprisingly independent of the attachment force as shown in Fig. 9 b). More analysis will be carried out in the future in order to further characterize this phenomenon. 1832

5 Shear force (N) Shear force in function of placement temperature for placement force of 20N Temperature ( C) a) Influence of the placement temperature Mold a) Molding on temporary silicon carrier Mold b) Transfer to dicing tape Mold c) Temporary carrier debonding and adhesive removal Figure 10. Schematic process flow of application extension to wafer overmolding Shear Force (N) b) Influence of the placement force Figure 9. Shear strength of dies placed with various conditions on Fujifilm Temporary Being able to attach dies at low temperature or even room temperature enables new field of application for this type of temporary adhesive. Indeed, the schematic shown in Fig. 7. is directly comparable to the chip first approach for wafer reconstruction by molding [5-6]. Hence imec and Fujifilm have explored the alternative use of the adhesive developed for temporary bonding into the field of wafer overmolding. This present quite some challenge due to the higher level of wafer stress handling by the adhesive material. In order to assess the feasibility of such process, we have therefore submitted substrates coated with adhesive to the process steps described in Fig. 10. The temporary bond material has been overmolded with a 200μm thick mold cap. No delamination has been noticed after molding nor after post mold cure for few set of mold materials. Figure 11. Photograph of a 200μm thin mold wafer after debonding and adhesive removal The temporary bond material can therefore withstand the stress of a full surface overmolding, a worst case scenario. Further experiment included the separation of the mold substrate from the carrier wafer using a direct mechanical debond approach similar to the one used in classical temporary wafer debonding as depicted in Fig

6 VI. CONCLUSIONS The properties of a single material temporary bond solution from Fujifilm have been further explored. This unique polymeric material does not only offer an adhesive and release function combined, allowing thin wafer mechanical debond, it also features interesting properties such as tackiness to silicon dies at room temperature. This polymeric material being in addition resistant to harsh vacuum and heat conditions, up to 350 C, it provides interesting options for its applications to FO-WLP processing, including wafer reconstruction by overmolding. ACKNOWLEDGMENT The authors would like to thanks Walter Spiess, Hans Mathee, Stefan Lutter from Suss Microtec, Lan Peng, Fumihiro Inoue, Philip Nolmans, Bram De Loore, Dieter Cuypers, Ingrid Demonie, Wim Elen from imec for their support during the experiments. REFERENCES [1] A. Jourdain, T. Buisson, A. Phommahaxay, M. Privett, D. Wallace, S. Sood, P. Bisson, E. Beyne, Y. Travaly, B. Swinnen, 300mm wafer thinning and backside passivation compatibility with temporary wafer bonding for 3D stacked IC applications, 2010 IEEE International 3D Systems Integration Conference (3DIC), Munich, 2010, pp [2] J. Lee; J. Seetoh; H. Yu Li; V. Lee; Y. Chen Yeo, G. Kian Lau, K. Hwa Teo, S. Gao, Compatibility of Dielectric Passivation and Temporary Bonding Materials for Thin Wafer Handling in 3-D TSV Integration, in IEEE Transactions on Components, Packaging and Manufacturing Technology, vol. 1, no. 12, pp , Dec [3] A. Phommahaxay, A. Nakamura, A. Jourdain, G. Verbinnen, Y. Kamochi, I. Koyama, Y. Iwai, M. Sawano, S. Tan, A. Miller, G. Beyer, E. Sleeckx, E. Beyne, Demonstration of a novel low cost single material temporary bond solution for high topography substrates based on a mechanical wafer debonding and innovative adhesive removal, 2015 IEEE 65th Electronic Components and Technology Conference (ECTC), San Diego, CA, 2015, pp [4] A. Jourdain, T. Buisson, A. Phommahaxay, A. Redolfi, S. Thangaraju, Y. Travaly, E. Beyne, B. Swinnen, Integration of TSVs, wafer thinning and backside passivation on full 300mm CMOS wafers for 3D applications, 2011 IEEE 61st Electronic Components and Technology Conference (ECTC), Lake Buena Vista, FL, 2011, pp [5] M. Brunnbauer, E. Fürgut, G. Beer and T. Meyer, Embedded wafer level ball grid array (ewlb), th Electronics Packaging Technology Conference, Singapore, 2006, pp [6] H. S. Ling, B. Lin, C. S. Choong, S. D. Velez, C. T. Chong and X. Zhang, Comprehensive Study on the Interactions of Multiple Die Shift Mechanisms During Wafer Level Molding of Multichip- Embedded Wafer Level Packages, in IEEE Transactions on Components, Packaging and Manufacturing Technology, vol. 4, no. 6, pp , June

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING European 3D TSV Summit, January 22-23, 2013, Grenoble Dr. Rainer Knippelmeyer, CTO and VP of R&D, GM Product Line Bonder

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

Thin Wafer Handling Debonding Mechanisms

Thin Wafer Handling Debonding Mechanisms Thin Wafer Handling Debonding Mechanisms Jonathan Jeauneau, Applications Manager Alvin Lee, Technology Strategist Dongshun Bai, Scientist, 3-D IC R&D Materials Outline Requirements of Thin Wafer Handling

More information

A Temporary Bonding and Debonding Technology for TSV Fabrication

A Temporary Bonding and Debonding Technology for TSV Fabrication A Temporary Bonding and Debonding Technology for TSV Fabrication Taku Kawauchi, Masatoshi Shiraishi, Satoshi Okawa, Masahiro Yamamoto Tokyo Electron Ltd, Japan Taku Kawauchi, Tokyo Electron Ltd./Slide

More information

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group EV Group Enabling processes for 3D interposer Dr. Thorsten Matthias EV Group EV Group in a Nutshell st Our philosophy Our mission in serving next generation application in semiconductor technology Equipment

More information

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration 2016-06-15, Chemnitz Chemnitz University of Technology Basic Research Fraunhofer ENAS System-Packaging (SP) Back-End of Line (BEOL) Applied

More information

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package 2017 IEEE 67th Electronic Components and Technology Conference Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package Zhaohui Chen, Faxing Che, Mian Zhi

More information

Thermal aspects of 3D and 2.5D integration

Thermal aspects of 3D and 2.5D integration Thermal aspects of 3D and 2.5D integration Herman Oprins Sr. Researcher Thermal Management - imec Co-authors: Vladimir Cherman, Geert Van der Plas, Eric Beyne European 3D Summit 23-25 January 2017 Grenoble,

More information

XBC300 Gen2. Fully-automated debonder and Cleaner

XBC300 Gen2. Fully-automated debonder and Cleaner XBC300 Gen2 Fully-automated debonder and Cleaner XBC300 Gen2 FULLY AUTOMATED DEBONDER AND CLEANER The SUSS XBC300 Gen2 debonder and cleaner platform is designed for process development as well as high

More information

1

1 Process methodologies for temporary thin wafer handling solutions By Justin Furse, Technology Strategist, Brewer Science, Inc. Use of temporary bonding/debonding as part of thin wafer handling processes

More information

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Tani et al.: Multilayer Wiring Technology with Grinding Planarization (1/6) [Technical Paper] Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Motoaki Tani, Kanae

More information

SHRINK. STACK. INTEGRATE.

SHRINK. STACK. INTEGRATE. SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

Hybrid Wafer Level Bonding for 3D IC

Hybrid Wafer Level Bonding for 3D IC Hybrid Wafer Level Bonding for 3D IC An Equipment Perspective Markus Wimplinger, Corporate Technology Development & IP Director History & Roadmap - BSI CIS Devices???? 2013 2 nd Generation 3D BSI CIS with

More information

Solder Self-assembly for MEMS

Solder Self-assembly for MEMS Solder Self-assembly for MEMS Kevin F. Harsh, Ronda S. Irwin and Y. C. Lee NSF Center for Advanced Manufacturing and Packaging of Microwave, Optical and Digital Electronics, Department of Mechanical Engineering

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

SCB10H Series Pressure Elements PRODUCT FAMILY SPEFICIFATION. Doc. No B

SCB10H Series Pressure Elements PRODUCT FAMILY SPEFICIFATION. Doc. No B PRODUCT FAMILY SPEFICIFATION SCB10H Series Pressure Elements SCB10H Series Pressure Elements Doc. No. 82 1250 00 B Table of Contents 1 General Description... 3 1.1 Introduction... 3 1.2 General Description...

More information

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications Taigon Song *1, Arthur Nieuwoudt *2, Yun Seop Yu *3 and Sung Kyu Lim *1 *1 School of Electrical and Computer Engineering,

More information

Prediction of Encapsulant Performance Toward Fatigue Properties of Flip Chip Ball Grid Array (FC-BGA) using Accelerated Thermal Cycling (ATC)

Prediction of Encapsulant Performance Toward Fatigue Properties of Flip Chip Ball Grid Array (FC-BGA) using Accelerated Thermal Cycling (ATC) Prediction of Encapsulant Performance Toward Fatigue Properties of Flip Chip Ball Grid Array (FC-BGA) using Accelerated Thermal Cycling (ATC) ZAINUDIN KORNAIN 1, AZMAN JALAR 2,3, SHAHRUM ABDULLAH 3, NOWSHAD

More information

ADVANCED BOARD LEVEL MODELING FOR WAFER LEVEL PACKAGES

ADVANCED BOARD LEVEL MODELING FOR WAFER LEVEL PACKAGES As originally published in the SMTA Proceedings ADVANCED BOARD LEVEL MODELING FOR WAFER LEVEL PACKAGES Tiao Zhou, Ph.D. Southern Methodist University Dallas, TX, USA tiaoz@smu.edu Zhenxue Han, Ph.D. University

More information

SET Technical Bulletin

SET Technical Bulletin SET Technical Bulletin DIE BONDING APPLICATIONS An Innovative Die to Wafer 3D Integration Scheme: Die to Wafer Oxide or Copper Direct Bonding with Planarised Oxide Inter-Die Filling RF MEMS and Flip-Chip

More information

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs)

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Manuscript for Review Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs) Journal: Electronics Letters Manuscript ID: draft Manuscript Type: Letter

More information

THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD

THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD N.BhargavaRamudu 1, V. Nithin Kumar Varma 2, P.Ravi kiran 3, T.Venkata Avinash 4, Ch. Mohan Sumanth 5, P.Prasanthi 6 1,2,3,4,5,6

More information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

1 INTRODUCTION 2 SAMPLE PREPARATIONS Chikage NORITAKE This study seeks to analyze the reliability of three-dimensional (3D) chip stacked packages under cyclic thermal loading. The critical areas of 3D chip stacked packages are defined using

More information

FEM Analysis on Mechanical Stress of 2.5D Package Interposers

FEM Analysis on Mechanical Stress of 2.5D Package Interposers Hisada et al.: FEM Analysis on Mechanical Stress of 2.5D Package Interposers (1/8) [Technical Paper] FEM Analysis on Mechanical Stress of 2.5D Package Interposers Takashi Hisada, Toyohiro Aoki, Junko Asai,

More information

Especial Bump Bonding Technique for Silicon Pixel Detectors

Especial Bump Bonding Technique for Silicon Pixel Detectors Especial Bump Bonding Technique for Silicon Pixel Detectors E. Cabruja, M. Bigas, M. Ullán, G. Pellegrini, M. Lozano Centre Nacional de Microelectrònica Spain Outline Motivation Summary of bump bonding

More information

Electrical Characterization of 3D Through-Silicon-Vias

Electrical Characterization of 3D Through-Silicon-Vias Electrical Characterization of 3D Through-Silicon-Vias F. Liu, X. u, K. A. Jenkins, E. A. Cartier, Y. Liu, P. Song, and S. J. Koester IBM T. J. Watson Research Center Yorktown Heights, NY 1598, USA Phone:

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

Adhesion Improvement on Smooth Cu Wiring Surfaces of Printed Circuit Boards

Adhesion Improvement on Smooth Cu Wiring Surfaces of Printed Circuit Boards [Technical Paper] Adhesion Improvement on Smooth Cu Wiring Surfaces of Printed Circuit Boards Motoaki Tani*, Shinya Sasaki*, and Keisuke Uenishi** *Next-Generation Manufacturing Technologies Research Center,

More information

Chapter 10 3D Integration Based upon Dielectric Adhesive Bonding

Chapter 10 3D Integration Based upon Dielectric Adhesive Bonding Chapter 10 3D Integration Based upon Dielectric Adhesive Bonding Jian-Qiang Lu, Timothy S. Cale, and Ronald J. Gutmann 10.1 Introduction Wafer bonding with intermediate polymer adhesives is one of the

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

Research and Development of Parylene Thin-Film Deposition and Application for Water-Proofing

Research and Development of Parylene Thin-Film Deposition and Application for Water-Proofing Advanced Materials Research Online: 2012-06-14 ISSN: 1662-8985, Vols. 538-541, pp 23-28 doi:10.4028/www.scientific.net/amr.538-541.23 2012 Trans Tech Publications, Switzerland Research and Development

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method Abstract Bump shear is widely used to characterize interface strength of Cu/low-k structure. In this work,

More information

Next-Generation Packaging Technology for Space FPGAs

Next-Generation Packaging Technology for Space FPGAs Power Matters. Next-Generation Packaging Technology for Space FPGAs Microsemi Space Forum Russia November 2013 Raymond Kuang Director of Packaging Engineering, SoC Products Group Agenda CCGA (ceramic column

More information

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor 2017 IEEE 67th Electronic Components and Technology Conference Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor Keiichiro Iwanabe, Kenichi Nakadozono,

More information

A Cost and Yield Analysis of Wafer-to-wafer Bonding. Amy Palesko SavanSys Solutions LLC

A Cost and Yield Analysis of Wafer-to-wafer Bonding. Amy Palesko SavanSys Solutions LLC A Cost and Yield Analysis of Wafer-to-wafer Bonding Amy Palesko amyp@savansys.com SavanSys Solutions LLC Introduction When a product requires the bonding of two wafers or die, there are a number of methods

More information

Multi-chip Integration on a PLC Platform for 16X16 Port Optical Switch Using Passive Alignment Technique

Multi-chip Integration on a PLC Platform for 16X16 Port Optical Switch Using Passive Alignment Technique Multi-chip Integration on a PLC Platform for 16X16 Port Optical Switch Using Passive Alignment Technique Jung Woon Lim, Hwe Jong Kim, Seon Hoon Kim and Byung Sup Rho Korea Photonics Technology Institute

More information

Effect of Direction of Ultrasonic Vibration on Flip-Chip Bonding

Effect of Direction of Ultrasonic Vibration on Flip-Chip Bonding Transactions of The Japan Institute of Electronics Packaging Vol. 6, No. 1, 13 [Technical Paper] Effect of Direction of Ultrasonic Vibration on Flip-Chip Bonding Mutsumi Masumoto*, Yoshiyuki Arai*, **,

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages

Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages K. N. Chiang Associate Professor e-mail: knchiang@pme.nthu.edu.tw C. W. Chang Graduate Student C. T. Lin Graduate Student

More information

Self-Alignment with Copper Pillars Micro-Bumps for Positioning Optical Devices at Submicronic Accuracy

Self-Alignment with Copper Pillars Micro-Bumps for Positioning Optical Devices at Submicronic Accuracy 2017 IEEE 67th Electronic Components and Technology Conference Self-Alignment with Copper Pillars Micro-Bumps for Positioning Optical Devices at Submicronic Accuracy Yézouma D. Zonou, Stéphane Bernabé,

More information

THERMAL PERFORMANCE EVALUATION AND METHODOLOGY FOR PYRAMID STACK DIE PACKAGES

THERMAL PERFORMANCE EVALUATION AND METHODOLOGY FOR PYRAMID STACK DIE PACKAGES THERMAL PERFORMANCE EVALUATION AND METHODOLOGY FOR PYRAMID STACK DIE PACKAGES Krishnamoorthi.S, *W.H. Zhu, C.K.Wang, Siew Hoon Ore, H.B. Tan and Anthony Y.S. Sun. Package Analysis and Design Center United

More information

450 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017

450 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017 450 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017 Effects of Thermocompression Bonding Parameters on Cu Pillar/Sn-Ag Microbump Solder Joint Morphology

More information

Deformation of solder joint under current stressing and numerical simulation II

Deformation of solder joint under current stressing and numerical simulation II International Journal of Solids and Structures 41 (2004) 4959 4973 www.elsevier.com/locate/ijsolstr Deformation of solder joint under current stressing and numerical simulation II Hua Ye *, Cemal Basaran,

More information

Ultrasonic Anisotropic Conductive Films (ACFs) Bonding of Flexible Substrates on Organic Rigid Boards at Room Temperature

Ultrasonic Anisotropic Conductive Films (ACFs) Bonding of Flexible Substrates on Organic Rigid Boards at Room Temperature Ultrasonic Anisotropic Conductive Films (ACFs) Bonding of Flexible Substrates on Organic Rigid Boards at Room Temperature Kiwon Lee, Hyoung Joon Kim, Il Kim, and Kyung Wook Paik Nano Packaging and Interconnect

More information

BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER

BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER Srinivasulu Korrapati B.E., Anna University, India, 2005 PROJECT Submitted

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling Victor Moroz *, Munkang Choi *, Geert Van der Plas, Paul Marchal, Kristof Croes, and Eric Beyne * Motivation: Build Reliable 3D IC

More information

Proceedings Novel Method for Adhesion between PI-PDMS Using Butyl Rubber for Large Area Flexible Body Patches

Proceedings Novel Method for Adhesion between PI-PDMS Using Butyl Rubber for Large Area Flexible Body Patches Proceedings Novel Method for Adhesion between PI-PDMS Using Butyl Rubber for Large Area Flexible Body Patches Shivani Joshi 1,2, *, Rishab Bagani 1, Lucas Beckers 2 and Ronald Dekker 1,2 1 Department of

More information

Low-temperature Ultrasonic Bonding of Cu/Sn Microbumps with Au layer for High Density Interconnection Applications

Low-temperature Ultrasonic Bonding of Cu/Sn Microbumps with Au layer for High Density Interconnection Applications 2017 IEEE 67th Electronic Components and Technology Conference Low-temperature Ultrasonic Bonding of Cu/Sn Microbumps with Au layer for High Density Interconnection Applications Qinghua Zeng, Yong Guan,

More information

The Reliability Analysis and Structure Design for the Fine Pitch Flip Chip BGA Packaging

The Reliability Analysis and Structure Design for the Fine Pitch Flip Chip BGA Packaging The Reliability Analysis and Structure Design for the Fine Pitch Flip Chip BGA Packaging Chih-Tang Peng 1, Chang-Ming Liu 1, Ji-Cheng Lin 1, Kuo-Ning Chiang E-Mail: Knchiang@pme.nthu.edu.tw Department

More information

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS H I G H S P E E D D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m / p c b INTRODUCTION Three Dimensional Integrated

More information

F R A U N H O F E R I N

F R A U N H O F E R I N FRAUNHOFER Institute FoR Electronic NAno systems ENAS System Packaging 1 2 3 4 5 The actual developments of micro and nano technologies are fascinating. Undoubted they are playing a key role in today s

More information

Postprint.

Postprint. http://www.diva-portal.org Postprint This is the accepted version of a paper presented at 29th IEEE International Conference on Micro Electro Mechanical Systems, MEMS 2016, Shanghai, China, 24 January

More information

Temperature-dependent Thermal Stress Determination for Through-Silicon-Vias (TSVs) by Combining Bending Beam Technique with Finite Element Analysis

Temperature-dependent Thermal Stress Determination for Through-Silicon-Vias (TSVs) by Combining Bending Beam Technique with Finite Element Analysis Temperature-dependent Thermal Stress Determination for Through-Silicon-Vias (TSVs) by Combining Bending Beam Technique with Finite Element Analysis Kuan H. Lu, Suk-Kyu Ryu*, Qiu Zhao, Klaus Hummler**,

More information

Microsemi Power Modules. Reliability tests for Automotive application

Microsemi Power Modules. Reliability tests for Automotive application Microsemi Power Modules Reliability tests for Automotive application on basis of AEC-Q101 SP module line 1/ 10 Introduction With reference to standard AEC-Q101, designed by Automotive Electronics Council

More information

3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer

3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer 3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer Makoto Takamiya 1, Koichi Ishida 1, Koichi Takemura 2,3, and Takayasu Sakurai 1 1 University of Tokyo, Japan 2 NEC Corporation,

More information

3DIC TSV-Stack Electrical Analysis Recommendation & Some Upfront Definitions

3DIC TSV-Stack Electrical Analysis Recommendation & Some Upfront Definitions 3DIC TSV-Stack Electrical Analysis Recommendation & Some Upfront Definitions Patrick M. Williams Senior Engineer, EDA East Fishkill, NY 3DIC CAD Si2-GSA Workshop October 1, 2009 Complex Networks Abound

More information

HBM fine pitch micro pillar grid array probing evaluation

HBM fine pitch micro pillar grid array probing evaluation HBM fine pitch micro pillar grid array probing evaluation Raffaele Vallauri, Technoprobe Daniele Perego, Technoprobe Marco Prea, Technoprobe Jaehong Kim, Samsung Electronics Jinyeong Yun, Samsung Electronics

More information

Assessment of Current Density Singularity in Electromigration of Solder Bumps

Assessment of Current Density Singularity in Electromigration of Solder Bumps Assessment of Current Density Singularity in Electromigration of Solder Bumps Pridhvi Dandu and Xuejun Fan Department of Mechanical Engineering Lamar University PO Box 10028, Beaumont, TX 77710, USA Tel:

More information

Outline. FlexTrate : High Interconnect Density Fan-Out Wafer Level Processing for Flexible Bio-compatible Electronics

Outline. FlexTrate : High Interconnect Density Fan-Out Wafer Level Processing for Flexible Bio-compatible Electronics FlexTrate : High Interconnect Density Fan-Out Wafer Level Processing for Flexible Bio-compatible Electronics T. Fukushima, A. Alam, S. Pal, Z. Wan, S. C. Jangam, G. Ezhilarasu, A. Bajwa, and S. S. Iyer

More information

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Table S1 Comparison of cooling performance of various thermoelectric (TE) materials and device architectures

More information

Woon-Seong Kwon Myung-Jin Yim Kyung-Wook Paik

Woon-Seong Kwon   Myung-Jin Yim Kyung-Wook Paik Woon-Seong Kwon e-mail: wskwon@kaist.ac.kr Myung-Jin Yim Kyung-Wook Paik Department of Materials Science and Engineering Korea Advanced Institute of Science and Technology Daejon 305-701, Korea Suk-Jin

More information

Assessment of Soft Errors due to Alpha Emissions from Presolder on Flip Chip Devices Rick Wong, Shi-Jie Wen, Peng Su, Li Li 10/30/09

Assessment of Soft Errors due to Alpha Emissions from Presolder on Flip Chip Devices Rick Wong, Shi-Jie Wen, Peng Su, Li Li 10/30/09 Assessment of Soft Errors due to Alpha Emissions from Presolder on Flip Chip Devices Rick Wong, Shi-Jie Wen, Peng Su, Li Li 10/30/09 1 Introduction Cause of Soft errors a. Ion creates electron hole pairs

More information

Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin

Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin Takao Someya 1, Hiroshi Kawaguchi 2, Takayasu Sakurai 3 1 School of Engineering, University of Tokyo, Tokyo, JAPAN 2 Institute

More information

Through-Wafer Interconnects for High Degree of Freedom MEMS Deformable Mirrors

Through-Wafer Interconnects for High Degree of Freedom MEMS Deformable Mirrors Through-Wafer Interconnects for High Degree of Freedom MEMS Deformable Mirrors Alioune Diouf 1, Thomas G. Bifano 1, Jason B. Stewart 2, Steven Cornelissen 2, Paul Bierden 2 1 Boston University Photonics

More information

Graphene Transistors Fabricated via Transfer-Printing In Device Active-Areas on Large Wafer

Graphene Transistors Fabricated via Transfer-Printing In Device Active-Areas on Large Wafer Graphene Transistors Fabricated via Transfer-Printing In Device Active-Areas on Large Wafer NANO LETTERS 2007 Vol. 7, No. 12 3840-3844 Xiaogan Liang, Zengli Fu, and Stephen Y. Chou* NanoStructure Laboratory,

More information

Reliable Laminating interdependency inks/adhesive. working for you.

Reliable Laminating interdependency inks/adhesive. working for you. Reliable Laminating interdependency inks/adhesive working for you. DIC Technology, Sun Chemical Service DIC is the market leader in Japan on Performance Lamination Adhesives and for adhesives research

More information

Aerospace Performances of IPDiA -250 C up to 250 C Grade Silicon Capacitors

Aerospace Performances of IPDiA -250 C up to 250 C Grade Silicon Capacitors Aerospace Performances of IPDiA -250 C up to 250 C Grade Silicon Capacitors Laurent Lengignon, IPDiA, 2 rue de la Girafe, 14000 Caen, France Alter Technology, Madrid, Spain, Demetrio Lopez ESA/ESTEC, Noordwijk,

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Effect of Surface Contamination on Solid-State Bondability of Sn-Ag-Cu Bumps in Ambient Air

Effect of Surface Contamination on Solid-State Bondability of Sn-Ag-Cu Bumps in Ambient Air Materials Transactions, Vol. 49, No. 7 (28) pp. 18 to 112 Special Issue on Lead-Free Soldering in Electronics IV #28 The Japan Institute of Metals Effect of Surface Contamination on Solid-State Bondability

More information

Flip Chip Reliability

Flip Chip Reliability Flip Chip Reliability P e t e r B o r g e s e n, P h. D., S u r face Mount Laboratory, Corporation, Binghamton, New York 13902-0825 Daniel Blass, Sur f a c e M o u n t L a b o r a t o r y, U n i v e r

More information

Freescale Semiconductor

Freescale Semiconductor Freescale Semiconductor Pressure Rev 14, 10/2008 + 10 kpa Uncompensated Silicon Pressure The series silicon piezoresistive pressure sensors provide a very accurate and linear voltage output, directly proportional

More information

Failure Analysis Report

Failure Analysis Report Failure Analysis Report TI Information - Selective Disclosure Device Analysis Services FA QEM-CCR-1710-00243 Customer: SERIAL SYSTEM (DSTR) Assy Site: Customer Tracking ID: Fab Site: Customer Part ID:

More information

Analytical Heat Transfer Model for Thermal Through-Silicon Vias

Analytical Heat Transfer Model for Thermal Through-Silicon Vias Analytical Heat Transfer Model for Thermal Through-Silicon Vias Hu Xu, Vasilis F. Pavlidis, and Giovanni De Micheli LSI - EPFL, CH-1015, Switzerland Email: {hu.xu, vasileios.pavlidis, giovanni.demicheli}@epfl.ch

More information

LPSC424.xxx Low Profile Silicon Capacitor

LPSC424.xxx Low Profile Silicon Capacitor LPSC424.xxx - 42 Low Profile Silicon Capacitor Rev 3. Key features Ultra low profile (1µm) High stability of capacitance value: Temperature

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 3, MARCH

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 3, MARCH IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 3, MARCH 2016 471 A Self-Aligning Flip-Chip Assembly Method Using Sacrificial Positive Self-Alignment Structures Hyung

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Multilayer Ceramic Chip Capacitors

Multilayer Ceramic Chip Capacitors HIGH VOLTAGE SERIES JARO high voltage series Multilayer Ceramic Capacitors are constructed by depositing alternative layers of ceramic dielectric materials and internal metallic electrodes, by using advanced

More information

FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES

FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES 1 2 ATMOSPHERIC PRESSURE PLASMA PROCESSES AT THE FRAUNHOFER IST Today, atmospheric pressure plasma

More information

Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC

Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC Tools for Thermal Analysis: Thermal Test Chips Thomas Tarter Package Science Services LLC ttarter@pkgscience.com INTRODUCTION Irrespective of if a device gets smaller, larger, hotter or cooler, some method

More information

Performance, Packaging, Price: Challenges for Sensor Research I. Eisele Fraunhofer EMFT

Performance, Packaging, Price: Challenges for Sensor Research I. Eisele Fraunhofer EMFT Performance, Packaging, Price: Challenges for Sensor Research I. Eisele Fraunhofer EMFT Introduction There are many sensor effects... but only a a very few sensors! Outline CMOS Encapsulated CMOS/MEMS

More information

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition Supporting Information for Wafer Scale Homogeneous Bilayer Graphene Films by Chemical Vapor Deposition Seunghyun Lee, Kyunghoon Lee, Zhaohui Zhong Department of Electrical Engineering and Computer Science,

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

SUPPLEMENTARY FIGURES

SUPPLEMENTARY FIGURES SUPPLEMENTARY FIGURES a b c Supplementary Figure 1 Fabrication of the near-field radiative heat transfer device. a, Main fabrication steps for the bottom Si substrate. b, Main fabrication steps for the

More information

WTSC144.xxx Wire Bonding Temperature Silicon Vertical Capacitor

WTSC144.xxx Wire Bonding Temperature Silicon Vertical Capacitor WTSC144.xxx Wire Bonding Temperature Silicon Vertical Capacitor Rev 3.1 Key features Full compatible to monolithic ceramic capacitors Ultra high stability of capacitance value: Temperature ±1.5% (-55 C

More information

Transient Harman Measurement of the Cross-plane ZT of InGaAs/InGaAlAs Superlattices with Embedded ErAs Nanoparticles

Transient Harman Measurement of the Cross-plane ZT of InGaAs/InGaAlAs Superlattices with Embedded ErAs Nanoparticles Transient Harman Measurement of the Cross-plane ZT of InGaAs/InGaAlAs Superlattices with Embedded ErAs Nanoparticles Rajeev Singh, Zhixi Bian, Gehong Zeng, Joshua Zide, James Christofferson, Hsu-Feng Chou,

More information

Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics

Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics Rajiv K. Singh, F.C. Chang and S. Tanawade, Gary Scheiffele Materials Science and Engineering Particle Science Engineering

More information

ECE 695 Numerical Simulations Lecture 35: Solar Hybrid Energy Conversion Systems. Prof. Peter Bermel April 12, 2017

ECE 695 Numerical Simulations Lecture 35: Solar Hybrid Energy Conversion Systems. Prof. Peter Bermel April 12, 2017 ECE 695 Numerical Simulations Lecture 35: Solar Hybrid Energy Conversion Systems Prof. Peter Bermel April 12, 2017 Ideal Selective Solar Absorber Efficiency Limits Ideal cut-off wavelength for a selective

More information

THE ECONOMICS OF DIE ATTACH VOIDING IN LED ASSEMBLIES

THE ECONOMICS OF DIE ATTACH VOIDING IN LED ASSEMBLIES THE ECONOMICS OF DIE ATTACH VOIDING IN LED ASSEMBLIES Griffin Lemaster, Dr. Bill Cardoso, Dr. Glen Thomas info@creativeelectron.com +1.866.953.8220 Creative Electron, Inc. San Marcos Santa Cruz Chicago

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Semi-Additive Process for Low Loss Build-Up Material in High Frequency Signal Transmission Substrates

Semi-Additive Process for Low Loss Build-Up Material in High Frequency Signal Transmission Substrates Semi-Additive Process for Low Loss Build-Up Material in High Frequency Signal Transmission Substrates Fei Peng 1, Naomi Ando 2, Roger Bernards 1, Bill Decesare 1 1 MacDermid Enthone Electronics Solutions,

More information

Supplementary Information

Supplementary Information ature anotechnology reference number: AO-06110617A Growth and alignment of polyaniline nanofibres with superhydrophobic, superhydrophilic and other properties an-rong Chiou 1,2,3, Chunmeng Lu 1, Jingjiao

More information

Failure Mechanism for fine pitch micro bump in Cu/Sn/Cu system during Current Stressing

Failure Mechanism for fine pitch micro bump in Cu/Sn/Cu system during Current Stressing Failure Mechanism for fine pitch micro bump in Cu/Sn/Cu system during Current Stressing Hsiao Hsiang Yao, Alastair David Trigg, and Chai Tai Chong Institute of Microelectronics, A*STAR (Agency for Science,

More information

Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs

Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Electrical Characterization for Intertier Connections and Timing Analysis for 3-D ICs Xiaoxia Wu, Wei Zhao, Mark Nakamoto, Chandra Nimmagadda,

More information