Exploring the Exponential Integrators with Krylov Subspace Algorithms for Nonlinear Circuit Simulation

Size: px
Start display at page:

Download "Exploring the Exponential Integrators with Krylov Subspace Algorithms for Nonlinear Circuit Simulation"

Transcription

1 Exploring te Exponential Integrators wit Krylov Subspace Algorits for Nonlinear Circuit Siulation Xinyuan Wang, Hao Zuang +, Cung-Kuan Ceng CSE and ECE Departents, UC San Diego, La Jolla, CA, USA + ANSYS, San Jose, CA, USA eail: xiw93@eng.ucsd.edu, ao.zuang@ansys.co, cceng@ucsd.edu Abstract We explore Krylov subspace algorits to calculate ϕ functions of exponential integrators for circuit siulation. Higa [] pointed out te potential nuerical stability ris of ϕ functions coputation. However, for te applications to circuit analysis, te coice of etods reains open. Tis wor inspects te accuracy of atrix exponential and vector product wit Krylov subspace etods, and identifies te proper approac to acieving nuerically stable solutions for nonlinear circuits. Epirial results verify te quality of te proposed etods using various orders of ϕ functions. Furterore, instead of Newton-Rapson (NR) iterations in conventional etods, an iterative residue correction algorit is devised for nonlinear syste analysis. Te stability and efficiency of our etods are illustrated wit experients. I. INTRODUCTION SPICE-lie circuit siulation [2], [3], [4] is critical during te cycle of integrated circuits (IC) designs. Given a circuit netlist and device odels, te circuit s beaviors can be forulated as differential algebraic equations (DAE). Te solution of DAE is coputed wit nuerical integration step by step until te end of wole siulation tie span. Terefore, te DAE integration process is one ey coponent in deciding te efficiency and accuracy in SPICE. Te progress of Krylov subspace etods [5], [6] for atrix exponential and related integration functions (so called ϕ functions) ave recently triggered researcers interests. Many wors are proposed to solve DAE using exponential integrators [7], [8], [9] due to its iproveents in iger order approxiation solution and stable explicit forulation over conventional linear ulti-step etods [2], [3], [4]. However, for te application of atrix exponential to circuit analysis tere exists potential nuerical issue wen evaluating ϕ functions appearing in exponential integrators []. Sidje proposed a direct coputation etod for ϕ functions wit an augented atrix [], providing an efficient way to evaluate te integrated solution. Newton-Rapson (NR) iterations are typically adopted to obtain solutions of te nonlinear function in conventional integration etods. In eac NR iteration, circuit siulator needs to linearize and solve te syste, wic fails to fully utilize te explicit nature of exponential integration etod [6]. In tis paper, we apply exponential integrators to circuit siulation wit a sift-and-invert Krylov subspace (rational) etod [], wic is preferred for faster convergence rate wen coputing atrix exponential and vector product (MEVP) copared to standard Krylov subspace and invert Krylov subspace [8], [2], [3]. Te solution expressed as atrix exponential is coputed wit ϕ function direct solver []. A residual ter is used in a copensation iteration for te solution convergence and error control during te tie arcing. Te contributions of tis paper are as follows. We adopt rational Krylov subspace etod for exponential integrators. Te rational Krylov subspace etod iproves te convergence rate. In te eantie, we sip te regularization process [8], [4]. We caracterize te nuerical beaviors using different orders of ϕ functions. Te solution errors are related to te order of ϕ functions, tie step sizes and diension of Krylov subspace. Te caracterization allows us to balance between coputation cost and accuracy. We sip Newton-Rapson iterations. Since te atrix exponential integration etod is explicit, we sip te Newton- Rapson iterations. Te fraewor wit rational Krylov subspace perfor atrix factorization only once at eac tie step. Te convergence of te solution is ceced by copensation iteration wit a correction ter evaluated by results fro Krylov subspace. Te rest of tis paper is organized as follows. Section II introduces te bacground of circuit tie-doain siulation and exponential integrators. Section III presents calculation utilizing ϕ functions for exponential integration, as well as a copensation iteration algorit for solution convergence. Section IV illustrates te MEVP coputation using rational Krylov subspace etod. Section V provides nuerical results to validate our etod. Section VI concludes tis paper. II. BACKGROUND Given a circuit netlist and device odels, a general forulation of circuit siulation is sown as follows, dq(x) + f(x) = Bu(t) () dt were vector x R n lists nodal voltages and branc currents. Vector q R n and function f R n denote te carge/flux and current/voltage ters, respectively. Vector u(t) represents all te external excitations at tie t; B is an incident atrix tat inserts te input signals to te syste; and n is te size of te syste. For a linear circuit, Eq. () could be reduced via odified nodal analysis (MNA) as Cẋ(t) + Gx(t) = Bu(t) (2) were C(x) R n n is a atrix of capacitance and inductance fro q, G(x) Rn n represents te conductance and te incidence x between voltages and currents. Suppose tat C is invertible, we can rewrite (2) as ẋ(t) = g(x, u, t) = Jx(t) + C Bu(t) (3) were J denotes te Jacobian atrix of g(x, u, t) J = C G Given an initial vector x at tie t and tie step, te analytical solution x + of Eq. (2) can be written in atrix exponential expression [2]. x + = e J x + e ( τ)j b(t + τ)dτ (4)

2 were b(t) = C Bu(t). For SPICE-lie siulation, we treat input u(t) as a vector of piece-wise-linear (PWL) functions. Te integral in Eq. (4) can be derived into atrix exponential operators as x + = x + (e J I)J g + (e J J I)J 2 b (5) were te second ter coputes te circuit response to te step input g = C Bu(t ), and te tird ter te rap input b = C B u(t +) u(t ). Equation (5) can be furter written in exponential Euler type [7] x + = x + ϕ (J )g + 2 ϕ 2(J)b (6) Te ϕ s functions are te convolution of te s t order ter in tie doain [7], [5], i.e. ϕ s(z) = (s )! We ave te recursion as e ( θ)z θ s dθ for s (7) ϕ (z) = e z zϕ s(z) = ϕ s (z) (s )! I (8) We adopt an effective etod [] to copute te MEV P in Eq. (6) wit an augented atrix Ã. Given atrix A Cn n, input vector c C n, and e i C p as an i-t unit vector, we define à = ( ) A ce C (n+p) (n+p), E = E e 2... e Cp p p Te atrix exponential of te augented atrix wit tie τ as te forat ( ) ϕ(τa) exp(τã) = F e τj (9) For any order of ϕ functions s p, F ( : n, s) = τ s ϕ s(τa)c () Te above derivation provides ultiple ways of evaluating te exponential ters in Eq. (6), wic can be written in different orders of ϕ functions. Te derivation will be discussed in Section III-A. III. INTEGRATION IN CIRCUIT SIMULATION For nonlinear syste Eq. () could be expressed at tie t wit forulation C(x)ẋ(t) + G(x)x(t) = F (x) + Bu(t) () were te eleents of atrixes C(x) and G(x) are functions of state x(t). Vector F (x) represents te offset of te nonlinear device odels. Starting fro x at tie t and given tie step, x + = x(t + ) can be solved explicitly wit Eq. (2) were C(x), G(x) and F (x) are linearized at x. C ẋ + + G x + = F (x ) + Bu(t + ) (2) A. Evaluation of Exponential Integrators We evaluate te ters in Eq. (6) wit different orders of ϕ functions. Basically, a ϕ s function can be derived fro te augented atrix etod directly. An alternative way is to use Eq. (8) to derive wit lower ordered ϕ functions. were te new vectors ϕ (J x)g = ϕ (J x) g g (3) 2 ϕ 2(J x)b = ϕ (J x) b b g = J b = J b = J = ϕ (J x) b b b (4) g = x G (F (x ) + Bu(t)) b = G u(t + ) u(t) B b = G C G u(t + ) u(t) B In circuit syste, te diension of atrix J can be above illions. Direct coputation of atrix exponential is infeasible. One efficient way is to approxiate te product of atrix function and vector troug Krylov subspace based algorits [5], [6]. Te standard Krylov subspace is constructed using J directly as K (J, v) := span{v, Jv,, J v} wit diension of. Te MEVP is calculated wit a Hessenberg atrix H obtained by Arnoldi process as e J v V e H e, were V is an n ortonoral basis and e is te first unit vector. Terefore, te coputing coplexity of atrix exponential will be reduced drastically, wile te accuracy is aintained via ig order polynoial approxiations [5]. Standard Krylov subspace ay not converge fast enoug for stiff circuit systes. Since H tends to approxiate large agnitude eigenvalues of J [], larger diension of subspace is needed to acieve accuracy. Te perforance of standard Krylov subspace as been described in [7] on power delivery networs. Besides, in te process of generating standard Krylov subspace we ave to copute inverse of C as part of atrix J. For singular C, extra regularization step will be required [8], [4]. Tus, we adopt te rational Krylov subspace as described in Sec. IV-A. We also notice tat inverse of C is incorporated in te vector g and b wic ay suffer te sae proble. Eq. (3) and (4) enable ultiple etods for calculating te exponential ters and C is canceled out in g, b and b. Matrix exponential integrators breas away fro conventional linear ulti-step integrators, wic is liited by te Dalquist barrier. Te adopted Krylov subspace etod is able to obtain ig order precision and tae longer step sizes [2], [4], [6], [9], [8]. B. Approxiation Teory and Copensation Iteration for Convergence Eq.() enforces KCL and KVL laws at tie t and t + wit solutions x and x + relatively. In order to evaluate te undersoot or oversoot, a ter x + is used to express te difference between solution x + fro Eq. (2) and real solution at t + wic satisfies C + (ẋ + + ẋ + ) + G + (x + + x + ) wic is equivalent to te following relation C + ẋ + + G + x + = = F (x + ) + Bu(t + ) (5) (C + ẋ + + G + x + ) + F (x + ) + Bu(t + ) (6) Terefore, x + could be approxiated siilarly to ow te differential equation is solved wit exponential integrators. Te rigt

3 and side of te above equation is defined as te negative residue r + of Eq.(2). r + = C + ẋ + + G + x + (F (x + ) + Bu(t + )) (7) Let us treat te difference as a rap input [9], i.e. u(t + ) r + (8) Ten, x + will be added to original solution as a copensation ter x + = x + + x + x ϕ 2(J)C u(t + ) (9) Te process will be repeated until te solution converges. All te paraeters wit subscript + in above derivation are evaluated by device odels according to te updated x +. Since x converges at t, te residue ter of Eq.(2) sould be alost zero. We can find tat r + updates te difference due to te nonlinearity of te syste r + C + ẋ + + G + x + F (x + ) (2) were C + = C + C, G + = G + G and F (x + ) = F (x + ) F (x ). Terefore, te copensation ter is te response to te cange of te syste paraeters fro state x to state x +. Sec. IV-C provides an iteration process sowing ow te correction ter wors to acieve convergence. IV. EXPONENTIAL INTEGRATORS WITH RATIONAL KRYLOV SUBSPACE In tis section, we adopt te rational Krylov subspace approac to calculate te atrix exponential. We ten copare te error using different orders of ϕ function. Finally, we use one order of calculation to describe te siulation algorit. A. Coputation of MEVP via Rational Krylov Subspace Metod To iprove te efficiency, a rational Krylov subspace basis is designed to confine te spectru of J. Instead of using J directly, (I J) is used to generate te Krylov subspace [] wic is ipleented as (G + C ) ( C ). K ((I J), v) := span{v, (I J) v,, (I J) ( ) v} (2) were is a predefined paraeter. Wit te sift-and-invert of J all its eigenvalues wit sall eigenvalues becoe te large ones and liited by one. Te rational Krylov subspace basis V is constructed wit Arnoldi process. Te corresponding H effectively approxiates sall agnitude eigenvalues of J, wic leads to a fast and accurate coputation of atrix exponential and vector product. Te relation between J and H is (I J) V = V H + +,v +e (22) were e is te -t unit vector. Te atrix exponential can be approxiated as e J v v V e I H e (23) More generally, te coputation of exponential integrators s ϕ s(j)v as discussed in Sec. III-A is troug [6] s ϕ s(j)v s v V I ϕ s( H )e (24) Algorit : Arnoldi process for rational Krylov subspace Input: C, G, v,, Output: H, V v = v v ; 2 for j = : do 3 Solve (G + C )w = C v j and obtain w; 4 for i = : j do 5 i,j = w v i ; 6 w = w i,j v i ; 7 end 8 j+,j = w ; 9 v j+ = w j+,j ; if r(ϕ s, ) < tol abs and r(ϕs,) s v < tol rel ten = j; 2 brea; 3 end 4 end Te evaluation of Eq. (24) can be directly derived wit augented atrix in Eq. (9). Terefore we can express te solution in Eq. (6) as x + = x + g V ϕ I ( H )e + 2 b V 2 ϕ I 2( H 2 )e (25) were and 2 represent diensions of Krylov subspace for eac exponential ter. Te residue of solution is derived wit Eq. (22) for atrix exponential to approxiate te truncated error of Krylov subspace. For a oogeneous syste Cẋ = Gx wit x() in Eq.(23), we ave te residue r = Cẋ + Gx = v (CV H + GV )e I H e = v +,(G + C )v+e H e I H e (26) Te derivation can be applied to Eq. (25), we ave residue for eac exponential ter as r(ϕ s, ) = s g +,(G + C )v+et H ϕ I s( H )e (27) B. Coparison aong Exponential Integrators Algorit sows te Arnoldi process of creating rational Krylov subspace for exponential integrators. According to [5], [8], te Krylov subspace etod wit diension approxiates te exponential integrators in Eq. (24) up to te ( ) t degree of te Taylor expansions. Wen te residue is below a given error tolerance, Arnoldi algorit terinates wit te diension as sown in line of Algorit. An RC es circuit [8] is used to cec te nuerical difference of evaluating te sae exponential integrator wit different ϕ functions. We set te initial state x() of circuit and input u() all zeros, u(t) is piece-wise linear input as P W L(s, A, T, I) were T is te wole tie span and I is pea current. Fro te derivation in previous sections, te solution wit step is x() = 2 ϕ 2(J)(C du dt )

4 pi pi pi2 2 3 x ext() x rat (,,ϕ s) x ext () Fig. : Relative error err(,, ϕ s, ) = vs. tie step and diension of rational Krylov subspace. x rat(,, ϕ s) is coputed wit ϕ (pi), ϕ (pi) ϕ 2(pi2) functions; is fixed; te reference solution is coputed by MATLAB exp function pi pi pi2 Fig. 2: Relative residue corresponding to error in Fig. as r(,,ϕ s) x ext vs. tie step and diension of rational Krylov () subspace. Figure sows te distribution of relative error err(,, ϕ s, ) versus tie step and diension of rational Krylov subspace ( = 5 3 ). Te relative error is defined as x ext() x rat (,,ϕ s) x ext were () te exact solution x ext() is coputed by MATLAB exp function. For large, te relative error reduces quicly wit all ϕ functions and can be furter suppressed by increasing te diension of rational Krylov subspace. Solution calculated wit ϕ function acieves te best accuracy. If sall tie step is required, ten ϕ 2 function wors ore precisely. Te corresponding residue of solution is coputed wit Eq.(27). Te distribution of r(,,ϕs) x ext is plotted in Fig. 2 () wic follows siilar relation as in Fig.. Wit solution evaluated by rational Krylov subspace, residue could be a good estiator for its accuracy and serves as criteria to deterine. Deonstrated in [2], rational Krylov subspace etod perfors best on convergent rate and te lengt of step-size copared to standard and invert Krylov subspace etod. Te Krylov subspace is built wit (G+ C ) wic avoids te factorization of C. According to [], transient siulation wit rational Krylov subspace is not very sensitive to once it is set to around te order near tie step. More coparisons aong standard, invert and rational Krylov subspace based atrix exponential and vector product (MEVP) can be found in [8]. 2 3 C. Integration Algorit for Circuit Siulation Te integration fraewor of transient siulation wit atrix exponential based integration etod is sown in Algorit 2. Te LU decoposition is perfored on (G + C ) for rational Krylov subspace. Eq.(25) is used to copute solution wit step and te exponential integrators are evaluated separately wit ϕ functions. Lines 5- sow te copensation iteration for circuit nonlinear eleents as discussed in Sec. III-B. Te residue ter r + is eleent-wisely copared to an error bound Err. Once te relation r + Err is not satisfied, copensation ter is coputed and added to x + until solution converges. Te fraewor also incorporates an adaptive step etod. If te solution cannot converge witin Iter ax iterations, tie step is srun and solution as to be recalculated. Once solution converges wit a sall nuber of iterations, step will be increased for next step x +2 to accelerate te siulation process. Algorit 2: Integration Kernel for rational Krylov subspace using Copensation Iteration Input: Circuit netlist, input sources, x at tie t and expected tie step Output: solution x + at t + Load te netlist and obtain C, G and F (x ) wit x ; 2 Perfor LU decopose of (G + C ) ; 3 Use Algorit to copute Eq. (3) and Eq. (4); 4 Set iteration nuber i = ; 5 wile r + > Err by Eq. (7) and i < Iters ax do 6 Copute copensation ter wit Algorit x + = 2 ϕ 2 (J)C r + ; 7 x + = x + + x + ; 8 Update r + at x + wit device odel; 9 Increase te iteration nuber i = i + ; end if r + > Err wen i = Iters ax ten 2 i = ; = µ; // Coputed solution x + is rejected. Srin by µ =.5. 3 end 4 else 5 x(t + ) = x + ; t + = t + ; = + ; 6 if i Iters in ten 7 = α; // i is sall, is increased by α > to accelerate te process. Here α =.2 8 end 9 end V. NUMERICAL RESULTS In tis section, te nuerical results are copared for rational Krylov subspace etod wic evaluates te exponential ters wit different ϕ functions. We define as alf of tie step and restrict axiu allowed step witin ns. Table I provides te specification of nonlinear test cases fro industry. In order to verify nuerical difference aong exponential integrators coputed wit ϕ functions, all test cases except for D8 are stiff designs wit non-singular C atrices. Extra regularization process is not required wen te inverse of C is incorporated wit vectors as in Eq. (3) and (6). Size of te test cases varies fro 43 to 4, represented by #Node. #Dev is te nuber of MOSFETs in eac circuit. Te next 2 coluns in Table I are nubers of non-zero eleents of C and G in siulation. We can find D4 - D6 as relatively denser atrices. T is te tie span of transient siulation.

5 TABLE I: Specification of Test Cases Index Design #Node #Dev nnz(c) nnz(g) T (s) D voter D2 counter D3 fadd D4 add D5 eplus D6 ra D7 Inv. cain D8 Power Grid Sae tolerance is set in Algorit for cecing te accuracy of MEVP coputed by rational Krylov subspace. Convergence of nonlinear syste is acieved using copensation iteration wit a correction ter derived fro residue in Eq. (7). Te exponential integrators are evaluated wit ϕ, ϕ and ϕ 2 functions separately in te forat fro Eq. (3) and (4). Coputation of ϕ functions is realized by augented atrix etod. Notice in Table II, ϕ 2 etod is only for Eq. (4) and Eq. (3) is coputed wit ϕ etod. Te siulation results are listed in Table II. DC represents te DC analysis tie of test case. Average diension of rational Krylov subspace in transient siulation is denoted as a wic includes coputation of solution and residue ter in copensation iteration. Total tie steps and runtie are displayed as well. Iter avg is te average iteration nuber for eac step wic reflects convergence rate of circuits. Designs wit ore coplex C and G atrices tend to ave larger Iter avg, lie D4 - D6. Relatively iger for Krylov subspace is observed for tose cases. For all te test cases, ϕ etod costs te least running tie and a.te results are consistent wit te error distribution of ϕ functions as sown in Fig.. To acieve sae accuracy, Krylov subspace wit ϕ requires saller. In Fig. 3, te wavefor of D4 is extracted to copare wit traditional Bacward Euler etod wit Newton-Rapson iteration (BENR). Saller tie step (.ps) is applied to BENR as a reference solution. Solution coputed wit our proposed algorit well fits te reference. We ipleent te algorits for circuit transient siulation in MATLAB 24a and use UMFPACK pacage for atrix factorization. Te experients are perfored on a Linux server wit Intel(R) Xeon(R) CPU E5-264 v3 2.6GHz and 25 GB eory. Device evaluation and atrix staping are done in C/C++ wit BSIM3 odel for MOSFET. Te interactions are troug MATLAB Executable (MEX) external interface wit GCC VI. CONCLUSION We propose an efficient algoritic fraewor for nonlinear circuit tie doain siulation using exponential integrators. Te MEVP is coputed by rational Krylov subspace. In order to reduce te nuber of LU decoposition operations, we reove Newton- Rapson iterations. A residue ter based copensation iteration algorit is devised to aintain te convergence. Te coputation of ϕ functions is realized wit rational Krylov subspace using te augented atrix. Relative error copared to exact solution is used to illustrate nuerical difference aong integrated solution wit ϕ functions. Results in Sec. V sow potential advantage wen coosing ϕ function for te coputation of exponential integrators in order to acieve low coputation cost wile ensuring accuracy. For exaple, wit relatively larger tie step ϕ etod is preferred for its draatic decrease in residue versus increasing. Wile for tiny, ϕ and ϕ 2 etods deonstrate Voltage (V) Rat ns BENR.ps tie (s) -7 Fig. 3: Accuracy coparison of transient siulation wit rational Krylov subspace and a reference by tranditional Bacward Euler wit Newton-Rapson etod; = /2; te reference solution use step size 3 s. potential for lower error. For te future wor, we will address te nuerical stability wen atrix C is singular. In addition, nonlinear integration etods will be explored to accelerate te convergence of te iterations. VII. ACKNOWLEDGMENTS We acnowledge te support fro NSF CCF We also tan te reviewers for teir suggestions. REFERENCES [] N. J. Higa, Accuracy and stability of nuerical algorits. SIAM, 22. [2] L. O. Cua and P.-M. Lin, Coputer Aided Analysis of Electric Circuits: Algorits and Coputational Tecniques. Prentice-Hall, 975. [3] L. Nagel, SPICE2: A coputer progra to siulate seiconductor circuits. P.D. dissertation, 975. [4] F. N. Naj, Circuit siulation. Wiley, 2. [5] Y. Saad, Analysis of soe rylov subspace approxiations to te atrix exponential operator, SIAM J. Nuer. Anal., vol. 29, no., pp , 992. [6] M. Hocbruc and A. Osterann, Exponential integrators, Acta Nuerica, vol. 9, pp , 2. [7] A. H. Al-Moy and N. J. Higa, Coputing te action of te atrix exponential, wit an application to exponential integrators, SIAM journal on scientific coputing, vol. 33, no. 2, pp , 2. [8] S.-H. Weng, Q. Cen, and C. K. Ceng, Tie-doain analysis of largescale circuits by atrix exponential etod wit adaptive control, IEEE TCAD, vol. 3, no. 8, pp. 8 93, 22. [9] H. Zuang, W. Yu, I. Kang, X. Wang, and C. K. Ceng, An algoritic fraewor for efficient large-scale circuit siulation using exponential integrators, in Proc. IEEE/ACM Design Auto. Conf., 25. [] R. B. Sidje, Expoit: A software pacage for coputing atrix exponentials, ACM Transactions on Mateatical Software, vol. 24, no., pp. 3 56, 998.

6 TABLE II: Siulation Perforance of Rational Krylov Subspace etod wit Exponential Integrators Design DC(s) ϕ etod ϕ etod ϕ 2 etod a Step Tran(s) Iter avg a Step Tran(s) Iter avg a Step Tran(s) Iter avg D D D D D D D D * singular C * singular C *C atrix of D8 is singular so evaluation of exponential integrators consisting inverse of C is not applicable. [] J. van den Esof and M. Hocbruc, Preconditioning Lanczos approxiations to te atrix exponential, SIAM J. Sci. Coput., vol. 27, no. 4, pp , 26. [2] Q. Cen, W. Scoenaer, S.-H. Weng, C. K. Ceng, G.-H. Cen, L.-J. Jiang, and N. Wong, A fast tie-doain e-tcad coupled siulation fraewor via atrix exponential, in Proc. IEEE/ACM Int. Conf. Coput.-Aided Design, pp , 22. [3] S.-H. Weng, Q. Cen, N. Wong, and C. K. Ceng, Circuit siulation via atrix exponential etod for stiffness andling and parallel processing, in Proc. IEEE/ACM Int. Conf. Coput.-Aided Design, pp , 22. [4] Q. Cen, S.-H. Weng, and C. K. Ceng, A practical regularization tecnique for odified nodal analysis in large-scale tie-doain circuit siulation, IEEE TCAD, vol. 3, no. 7, pp. 3 4, 22. [5] J. Nissen and W. M. Wrigt, a rylov subspace algorit for evaluating te pi function appearing in exponential integrators, ACM Transactions on Mateatical Software, vol. 38, no. 3, pp. 2, 22. [6] C. Moler and C. Van Loan, Nineteen dubious ways to copute te exponential of a atrix, twenty-five years later, SIAM review, vol. 45, no., pp. 3 49, 23. [7] H. Zuang, W. Yu, S.-H. Weng, I. Kang, J.-H. Lin, X. Zang, R. Coutts, and C.-K. Ceng, Siulation algorits wit exponential integration for tie-doain analysis of large-scale power delivery networs, IEEE TCAD, vol. 35, no., pp , 26. [8] H. Zuang, X. Wang, Q. Cen, P. Cen, and C.-K. Ceng, Fro circuit teory, siulation to spice Diego : A atrix exponential approac for tie-doain analysis of large-scale circuits, IEEE Circuits and Systes Magazine, vol. 6, no. 2, pp. 6 34, 26. [9] H. Zuang, Exponential Tie Integration for Transient Analysis of Large-Scale Circuits. PD tesis, Departent of Coputer Science and Engineering, University of California, San Diego, 26. [2] H. Zuang, S.-H. Weng, J.-H. Lin, and C. K. Ceng, MATEX: A distributed fraewor of transient siulation of power distribution networs, in Proc. IEEE/ACM Design Auto. Conf., pp , 24.

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators

An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators An Algorithmic Framework of Large-Scale Circuit Simulation Using Exponential Integrators Hao Zhuang 1, Wenjian Yu 2, Ilgweon Kang 1, Xinan Wang 1, and Chung-Kuan Cheng 1 1. University of California, San

More information

lecture 35: Linear Multistep Mehods: Truncation Error

lecture 35: Linear Multistep Mehods: Truncation Error 88 lecture 5: Linear Multistep Meods: Truncation Error 5.5 Linear ultistep etods One-step etods construct an approxiate solution x k+ x(t k+ ) using only one previous approxiation, x k. Tis approac enoys

More information

From Circuit Theory, Simulation to SPICE Diego : A Matrix Exponential Approach for Time-Domain Analysis of Large-Scale Circuits

From Circuit Theory, Simulation to SPICE Diego : A Matrix Exponential Approach for Time-Domain Analysis of Large-Scale Circuits Time Stepping Re-evaluate 1 From Circuit Teory, Simulation to SPICE Diego : A Matrix Exponential Approac for Time-Domain Analysis of Large-Scale Circuits Hao Zuang, Xinyuan Wang, Quan Cen, Pengwen Cen,

More information

Derivative at a point

Derivative at a point Roberto s Notes on Differential Calculus Capter : Definition of derivative Section Derivative at a point Wat you need to know already: Te concept of liit and basic etods for coputing liits. Wat you can

More information

1 Proving the Fundamental Theorem of Statistical Learning

1 Proving the Fundamental Theorem of Statistical Learning THEORETICAL MACHINE LEARNING COS 5 LECTURE #7 APRIL 5, 6 LECTURER: ELAD HAZAN NAME: FERMI MA ANDDANIEL SUO oving te Fundaental Teore of Statistical Learning In tis section, we prove te following: Teore.

More information

Neural Networks Trained with the EEM Algorithm: Tuning the Smoothing Parameter

Neural Networks Trained with the EEM Algorithm: Tuning the Smoothing Parameter eural etworks Trained wit te EEM Algorit: Tuning te Sooting Paraeter JORGE M. SATOS,2, JOAQUIM MARQUES DE SÁ AD LUÍS A. ALEXADRE 3 Intituto de Engenaria Bioédica, Porto, Portugal 2 Instituto Superior de

More information

LAB #3: ELECTROSTATIC FIELD COMPUTATION

LAB #3: ELECTROSTATIC FIELD COMPUTATION ECE 306 Revised: 1-6-00 LAB #3: ELECTROSTATIC FIELD COMPUTATION Purpose During tis lab you will investigate te ways in wic te electrostatic field can be teoretically predicted. Bot analytic and nuerical

More information

Numerical Solution for Non-Stationary Heat Equation in Cooling of Computer Radiator System

Numerical Solution for Non-Stationary Heat Equation in Cooling of Computer Radiator System (JZS) Journal of Zankoy Sulaiani, 9, 1(1) Part A (97-1) A119 Nuerical Solution for Non-Stationary Heat Equation in Cooling of Coputer Radiator Syste Aree A. Maad*, Faraidun K. Haa Sal**, and Najadin W.

More information

A PRECONDITIONING METHOD FOR THIN HIGH CONTRAST SCATTERING STRUCTURES

A PRECONDITIONING METHOD FOR THIN HIGH CONTRAST SCATTERING STRUCTURES 1 2 3 4 5 6 7 8 9 10 11 A PRECONDITIONING METHOD FOR THIN HIGH CONTRAST SCATTERING STRUCTURES JOSEF A. SIFUENTES AND SHARI MOSKOW 27 February 2017 We present a etod to precondition te discretized Lippann-Scwinger

More information

Stability Analysis of the Matrix-Free Linearly Implicit 2 Euler Method 3 UNCORRECTED PROOF

Stability Analysis of the Matrix-Free Linearly Implicit 2 Euler Method 3 UNCORRECTED PROOF 1 Stability Analysis of the Matrix-Free Linearly Iplicit 2 Euler Method 3 Adrian Sandu 1 andaikst-cyr 2 4 1 Coputational Science Laboratory, Departent of Coputer Science, Virginia 5 Polytechnic Institute,

More information

EE5900 Spring Lecture 4 IC interconnect modeling methods Zhuo Feng

EE5900 Spring Lecture 4 IC interconnect modeling methods Zhuo Feng EE59 Spring Parallel LSI AD Algoriths Lecture I interconnect odeling ethods Zhuo Feng. Z. Feng MTU EE59 So far we ve considered only tie doain analyses We ll soon see that it is soeties preferable to odel

More information

5.1 The derivative or the gradient of a curve. Definition and finding the gradient from first principles

5.1 The derivative or the gradient of a curve. Definition and finding the gradient from first principles Capter 5: Dierentiation In tis capter, we will study: 51 e derivative or te gradient o a curve Deinition and inding te gradient ro irst principles 5 Forulas or derivatives 5 e equation o te tangent line

More information

RESTARTED FULL ORTHOGONALIZATION METHOD FOR SHIFTED LINEAR SYSTEMS

RESTARTED FULL ORTHOGONALIZATION METHOD FOR SHIFTED LINEAR SYSTEMS BIT Nuerical Matheatics 43: 459 466, 2003. 2003 Kluwer Acadeic Publishers. Printed in The Netherlands 459 RESTARTED FULL ORTHOGONALIZATION METHOD FOR SHIFTED LINEAR SYSTEMS V. SIMONCINI Dipartiento di

More information

Supplementary Materials: Proofs and Technical Details for Parsimonious Tensor Response Regression Lexin Li and Xin Zhang

Supplementary Materials: Proofs and Technical Details for Parsimonious Tensor Response Regression Lexin Li and Xin Zhang Suppleentary Materials: Proofs and Tecnical Details for Parsionious Tensor Response Regression Lexin Li and Xin Zang A Soe preliinary results We will apply te following two results repeatedly. For a positive

More information

Finding Rightmost Eigenvalues of Large Sparse. Non-symmetric Parameterized Eigenvalue Problems. Abstract. Introduction

Finding Rightmost Eigenvalues of Large Sparse. Non-symmetric Parameterized Eigenvalue Problems. Abstract. Introduction Finding Rightost Eigenvalues of Large Sparse Non-syetric Paraeterized Eigenvalue Probles Applied Matheatics and Scientific Coputation Progra Departent of Matheatics University of Maryland, College Par,

More information

Numerical Differentiation

Numerical Differentiation Numerical Differentiation Finite Difference Formulas for te first derivative (Using Taylor Expansion tecnique) (section 8.3.) Suppose tat f() = g() is a function of te variable, and tat as 0 te function

More information

The total error in numerical differentiation

The total error in numerical differentiation AMS 147 Computational Metods and Applications Lecture 08 Copyrigt by Hongyun Wang, UCSC Recap: Loss of accuracy due to numerical cancellation A B 3, 3 ~10 16 In calculating te difference between A and

More information

Ch 12: Variations on Backpropagation

Ch 12: Variations on Backpropagation Ch 2: Variations on Backpropagation The basic backpropagation algorith is too slow for ost practical applications. It ay take days or weeks of coputer tie. We deonstrate why the backpropagation algorith

More information

Estimating the Density of a Conditional Expectation

Estimating the Density of a Conditional Expectation Estiating te Density of a Conditional Expectation Sauel G. Steckley Sane G. Henderson David Ruppert Ran Yang Daniel W. Apley Jerey Stau Abstract In tis paper, we analyze etods for estiating te density

More information

A Simplified Analytical Approach for Efficiency Evaluation of the Weaving Machines with Automatic Filling Repair

A Simplified Analytical Approach for Efficiency Evaluation of the Weaving Machines with Automatic Filling Repair Proceedings of the 6th SEAS International Conference on Siulation, Modelling and Optiization, Lisbon, Portugal, Septeber -4, 006 0 A Siplified Analytical Approach for Efficiency Evaluation of the eaving

More information

Passivity based control of magnetic levitation systems: theory and experiments Λ

Passivity based control of magnetic levitation systems: theory and experiments Λ Passivity based control of agnetic levitation systes: teory and experients Λ Hugo Rodriguez a, Roeo Ortega ay and Houria Siguerdidjane b alaboratoire des Signaux et Systées bservice d Autoatique Supelec

More information

Determining Limits of Thermal NDT of Thick Graphite/Epoxy Composites

Determining Limits of Thermal NDT of Thick Graphite/Epoxy Composites ECNDT 006 - We.3.8.1 Deterining Liits of Teral NDT of Tick Grapite/Epoy Coposites Vladiir VAVILOV Institute of Introscopy Tosk Russia Abstract. Te known approac to inspecting tin coposites by using infrared

More information

Iterative Linear Solvers and Jacobian-free Newton-Krylov Methods

Iterative Linear Solvers and Jacobian-free Newton-Krylov Methods Eric de Sturler Iterative Linear Solvers and Jacobian-free Newton-Krylov Methods Eric de Sturler Departent of Matheatics, Virginia Tech www.ath.vt.edu/people/sturler/index.htl sturler@vt.edu Efficient

More information

Chapter 5 FINITE DIFFERENCE METHOD (FDM)

Chapter 5 FINITE DIFFERENCE METHOD (FDM) MEE7 Computer Modeling Tecniques in Engineering Capter 5 FINITE DIFFERENCE METHOD (FDM) 5. Introduction to FDM Te finite difference tecniques are based upon approximations wic permit replacing differential

More information

Comparison of Stability of Selected Numerical Methods for Solving Stiff Semi- Linear Differential Equations

Comparison of Stability of Selected Numerical Methods for Solving Stiff Semi- Linear Differential Equations International Journal of Applied Science and Technology Vol. 7, No. 3, Septeber 217 Coparison of Stability of Selected Nuerical Methods for Solving Stiff Sei- Linear Differential Equations Kwaku Darkwah

More information

International Journal of Advance Engineering and Research Development OSCILLATION AND STABILITY IN A MASS SPRING SYSTEM

International Journal of Advance Engineering and Research Development OSCILLATION AND STABILITY IN A MASS SPRING SYSTEM Scientific Journal of Ipact Factor (SJIF): 5.71 International Journal of Advance Engineering and Researc Developent Volue 5, Issue 06, June -018 e-issn (O): 348-4470 p-issn (P): 348-6406 OSCILLATION AND

More information

Estimation for the Parameters of the Exponentiated Exponential Distribution Using a Median Ranked Set Sampling

Estimation for the Parameters of the Exponentiated Exponential Distribution Using a Median Ranked Set Sampling Journal of Modern Applied Statistical Metods Volue 14 Issue 1 Article 19 5-1-015 Estiation for te Paraeters of te Exponentiated Exponential Distribution Using a Median Ranked Set Sapling Monjed H. Sau

More information

NONLINEAR SYSTEMS IDENTIFICATION USING THE VOLTERRA MODEL. Georgeta Budura

NONLINEAR SYSTEMS IDENTIFICATION USING THE VOLTERRA MODEL. Georgeta Budura NONLINEAR SYSTEMS IDENTIFICATION USING THE VOLTERRA MODEL Georgeta Budura Politenica University of Timisoara, Faculty of Electronics and Telecommunications, Comm. Dep., georgeta.budura@etc.utt.ro Abstract:

More information

3D acoustic wave modeling with a time-space domain dispersion-relation-based Finite-difference scheme

3D acoustic wave modeling with a time-space domain dispersion-relation-based Finite-difference scheme P-8 3D acoustic wave odeling with a tie-space doain dispersion-relation-based Finite-difference schee Yang Liu * and rinal K. Sen State Key Laboratory of Petroleu Resource and Prospecting (China University

More information

Solving initial value problems by residual power series method

Solving initial value problems by residual power series method Theoretical Matheatics & Applications, vol.3, no.1, 13, 199-1 ISSN: 179-9687 (print), 179-979 (online) Scienpress Ltd, 13 Solving initial value probles by residual power series ethod Mohaed H. Al-Sadi

More information

Nonmonotonic Networks. a. IRST, I Povo (Trento) Italy, b. Univ. of Trento, Physics Dept., I Povo (Trento) Italy

Nonmonotonic Networks. a. IRST, I Povo (Trento) Italy, b. Univ. of Trento, Physics Dept., I Povo (Trento) Italy Storage Capacity and Dynaics of Nononotonic Networks Bruno Crespi a and Ignazio Lazzizzera b a. IRST, I-38050 Povo (Trento) Italy, b. Univ. of Trento, Physics Dept., I-38050 Povo (Trento) Italy INFN Gruppo

More information

Homotopy analysis of 1D unsteady, nonlinear groundwater flow through porous media

Homotopy analysis of 1D unsteady, nonlinear groundwater flow through porous media Hootopy analysis of D unsteady, nonlinear groundwater flow troug porous edia Autor Song, Hao, Tao, Longbin Publised 7 Journal Title Journal of Coastal Researc Copyrigt Stateent 7 CERF. Te attaced file

More information

Scalable Symbolic Model Order Reduction

Scalable Symbolic Model Order Reduction Scalable Sybolic Model Order Reduction Yiyu Shi Lei He -J Richard Shi Electrical Engineering Dept, ULA Electrical Engineering Dept, UW Los Angeles, alifornia, 924 Seattle, WA, 985 {yshi, lhe}eeuclaedu

More information

c hc h c h. Chapter Since E n L 2 in Eq. 39-4, we see that if L is doubled, then E 1 becomes (2.6 ev)(2) 2 = 0.65 ev.

c hc h c h. Chapter Since E n L 2 in Eq. 39-4, we see that if L is doubled, then E 1 becomes (2.6 ev)(2) 2 = 0.65 ev. Capter 39 Since n L in q 39-4, we see tat if L is doubled, ten becoes (6 ev)() = 065 ev We first note tat since = 666 0 34 J s and c = 998 0 8 /s, 34 8 c6 66 0 J sc 998 0 / s c 40eV n 9 9 60 0 J / ev 0

More information

Model Fitting. CURM Background Material, Fall 2014 Dr. Doreen De Leon

Model Fitting. CURM Background Material, Fall 2014 Dr. Doreen De Leon Model Fitting CURM Background Material, Fall 014 Dr. Doreen De Leon 1 Introduction Given a set of data points, we often want to fit a selected odel or type to the data (e.g., we suspect an exponential

More information

A note on the multiplication of sparse matrices

A note on the multiplication of sparse matrices Cent. Eur. J. Cop. Sci. 41) 2014 1-11 DOI: 10.2478/s13537-014-0201-x Central European Journal of Coputer Science A note on the ultiplication of sparse atrices Research Article Keivan Borna 12, Sohrab Aboozarkhani

More information

e-companion ONLY AVAILABLE IN ELECTRONIC FORM

e-companion ONLY AVAILABLE IN ELECTRONIC FORM OPERATIONS RESEARCH doi 10.1287/opre.1070.0427ec pp. ec1 ec5 e-copanion ONLY AVAILABLE IN ELECTRONIC FORM infors 07 INFORMS Electronic Copanion A Learning Approach for Interactive Marketing to a Custoer

More information

A new type of lower bound for the largest eigenvalue of a symmetric matrix

A new type of lower bound for the largest eigenvalue of a symmetric matrix Linear Algebra and its Applications 47 7 9 9 www.elsevier.co/locate/laa A new type of lower bound for the largest eigenvalue of a syetric atrix Piet Van Mieghe Delft University of Technology, P.O. Box

More information

AMS 147 Computational Methods and Applications Lecture 09 Copyright by Hongyun Wang, UCSC. Exact value. Effect of round-off error.

AMS 147 Computational Methods and Applications Lecture 09 Copyright by Hongyun Wang, UCSC. Exact value. Effect of round-off error. Lecture 09 Copyrigt by Hongyun Wang, UCSC Recap: Te total error in numerical differentiation fl( f ( x + fl( f ( x E T ( = f ( x Numerical result from a computer Exact value = e + f x+ Discretization error

More information

Ph 20.3 Numerical Solution of Ordinary Differential Equations

Ph 20.3 Numerical Solution of Ordinary Differential Equations Ph 20.3 Nuerical Solution of Ordinary Differential Equations Due: Week 5 -v20170314- This Assignent So far, your assignents have tried to failiarize you with the hardware and software in the Physics Coputing

More information

Dedicated to the 70th birthday of Professor Lin Qun

Dedicated to the 70th birthday of Professor Lin Qun Journal of Computational Matematics, Vol.4, No.3, 6, 4 44. ACCELERATION METHODS OF NONLINEAR ITERATION FOR NONLINEAR PARABOLIC EQUATIONS Guang-wei Yuan Xu-deng Hang Laboratory of Computational Pysics,

More information

Numerical Studies of a Nonlinear Heat Equation with Square Root Reaction Term

Numerical Studies of a Nonlinear Heat Equation with Square Root Reaction Term Nuerical Studies of a Nonlinear Heat Equation with Square Root Reaction Ter Ron Bucire, 1 Karl McMurtry, 1 Ronald E. Micens 2 1 Matheatics Departent, Occidental College, Los Angeles, California 90041 2

More information

New Streamfunction Approach for Magnetohydrodynamics

New Streamfunction Approach for Magnetohydrodynamics New Streamfunction Approac for Magnetoydrodynamics Kab Seo Kang Brooaven National Laboratory, Computational Science Center, Building 63, Room, Upton NY 973, USA. sang@bnl.gov Summary. We apply te finite

More information

DERIVING PROPER UNIFORM PRIORS FOR REGRESSION COEFFICIENTS

DERIVING PROPER UNIFORM PRIORS FOR REGRESSION COEFFICIENTS DERIVING PROPER UNIFORM PRIORS FOR REGRESSION COEFFICIENTS N. van Erp and P. van Gelder Structural Hydraulic and Probabilistic Design, TU Delft Delft, The Netherlands Abstract. In probles of odel coparison

More information

Numerical Analysis MTH603. dy dt = = (0) , y n+1. We obtain yn. Therefore. and. Copyright Virtual University of Pakistan 1

Numerical Analysis MTH603. dy dt = = (0) , y n+1. We obtain yn. Therefore. and. Copyright Virtual University of Pakistan 1 Numerical Analysis MTH60 PREDICTOR CORRECTOR METHOD Te metods presented so far are called single-step metods, were we ave seen tat te computation of y at t n+ tat is y n+ requires te knowledge of y n only.

More information

Experimental Design For Model Discrimination And Precise Parameter Estimation In WDS Analysis

Experimental Design For Model Discrimination And Precise Parameter Estimation In WDS Analysis City University of New York (CUNY) CUNY Acadeic Works International Conference on Hydroinforatics 8-1-2014 Experiental Design For Model Discriination And Precise Paraeter Estiation In WDS Analysis Giovanna

More information

A model reduction approach to numerical inversion for a parabolic partial differential equation

A model reduction approach to numerical inversion for a parabolic partial differential equation Inverse Probles Inverse Probles 30 (204) 250 (33pp) doi:0.088/0266-56/30/2/250 A odel reduction approach to nuerical inversion for a parabolic partial differential equation Liliana Borcea, Vladiir Drusin

More information

Investigating Euler s Method and Differential Equations to Approximate π. Lindsay Crowl August 2, 2001

Investigating Euler s Method and Differential Equations to Approximate π. Lindsay Crowl August 2, 2001 Investigating Euler s Metod and Differential Equations to Approximate π Lindsa Crowl August 2, 2001 Tis researc paper focuses on finding a more efficient and accurate wa to approximate π. Suppose tat x

More information

Lecture 15. Interpolation II. 2 Piecewise polynomial interpolation Hermite splines

Lecture 15. Interpolation II. 2 Piecewise polynomial interpolation Hermite splines Lecture 5 Interpolation II Introduction In te previous lecture we focused primarily on polynomial interpolation of a set of n points. A difficulty we observed is tat wen n is large, our polynomial as to

More information

A KERNEL APPROACH TO ESTIMATING THE DENSITY OF A CONDITIONAL EXPECTATION. Samuel G. Steckley Shane G. Henderson

A KERNEL APPROACH TO ESTIMATING THE DENSITY OF A CONDITIONAL EXPECTATION. Samuel G. Steckley Shane G. Henderson Proceedings of te 3 Winter Siulation Conference S Cick P J Sáncez D Ferrin and D J Morrice eds A KERNEL APPROACH TO ESTIMATING THE DENSITY OF A CONDITIONAL EXPECTATION Sauel G Steckley Sane G Henderson

More information

Using a De-Convolution Window for Operating Modal Analysis

Using a De-Convolution Window for Operating Modal Analysis Using a De-Convolution Window for Operating Modal Analysis Brian Schwarz Vibrant Technology, Inc. Scotts Valley, CA Mark Richardson Vibrant Technology, Inc. Scotts Valley, CA Abstract Operating Modal Analysis

More information

Block designs and statistics

Block designs and statistics Bloc designs and statistics Notes for Math 447 May 3, 2011 The ain paraeters of a bloc design are nuber of varieties v, bloc size, nuber of blocs b. A design is built on a set of v eleents. Each eleent

More information

Feature Extraction Techniques

Feature Extraction Techniques Feature Extraction Techniques Unsupervised Learning II Feature Extraction Unsupervised ethods can also be used to find features which can be useful for categorization. There are unsupervised ethods that

More information

A = h w (1) Error Analysis Physics 141

A = h w (1) Error Analysis Physics 141 Introduction In all brances of pysical science and engineering one deals constantly wit numbers wic results more or less directly from experimental observations. Experimental observations always ave inaccuracies.

More information

Complexity reduction in low-delay Farrowstructure-based. filters utilizing linear-phase subfilters

Complexity reduction in low-delay Farrowstructure-based. filters utilizing linear-phase subfilters Coplexity reduction in low-delay Farrowstructure-based variable fractional delay FIR filters utilizing linear-phase subfilters Air Eghbali and Håkan Johansson Linköping University Post Print N.B.: When

More information

Design of Spatially Coupled LDPC Codes over GF(q) for Windowed Decoding

Design of Spatially Coupled LDPC Codes over GF(q) for Windowed Decoding IEEE TRANSACTIONS ON INFORMATION THEORY (SUBMITTED PAPER) 1 Design of Spatially Coupled LDPC Codes over GF(q) for Windowed Decoding Lai Wei, Student Meber, IEEE, David G. M. Mitchell, Meber, IEEE, Thoas

More information

DELFT UNIVERSITY OF TECHNOLOGY Faculty of Electrical Engineering, Mathematics and Computer Science

DELFT UNIVERSITY OF TECHNOLOGY Faculty of Electrical Engineering, Mathematics and Computer Science DELFT UNIVERSITY OF TECHNOLOGY Faculty of Electrical Engineering, Matematics and Computer Science. ANSWERS OF THE TEST NUMERICAL METHODS FOR DIFFERENTIAL EQUATIONS (WI3097 TU) Tuesday January 9 008, 9:00-:00

More information

Variations on Backpropagation

Variations on Backpropagation 2 Variations on Backpropagation 2 Variations Heuristic Modifications Moentu Variable Learning Rate Standard Nuerical Optiization Conjugate Gradient Newton s Method (Levenberg-Marquardt) 2 2 Perforance

More information

A KERNEL APPROACH TO ESTIMATING THE DENSITY OF A CONDITIONAL EXPECTATION. Samuel G. Steckley Shane G. Henderson

A KERNEL APPROACH TO ESTIMATING THE DENSITY OF A CONDITIONAL EXPECTATION. Samuel G. Steckley Shane G. Henderson Proceedings of te 3 Winter Siulation Conference S Cick P J Sáncez D Ferrin and D J Morrice eds A KERNEL APPROACH TO ESTIMATING THE DENSITY OF A CONDITIONAL EXPECTATION Sauel G Steckley Sane G Henderson

More information

POD-DEIM MODEL ORDER REDUCTION FOR THE MONODOMAIN REACTION-DIFFUSION EQUATION IN NEURO-MUSCULAR SYSTEM

POD-DEIM MODEL ORDER REDUCTION FOR THE MONODOMAIN REACTION-DIFFUSION EQUATION IN NEURO-MUSCULAR SYSTEM 6th European Conference on Coputational Mechanics (ECCM 6) 7th European Conference on Coputational Fluid Dynaics (ECFD 7) 1115 June 2018, Glasgow, UK POD-DEIM MODEL ORDER REDUCTION FOR THE MONODOMAIN REACTION-DIFFUSION

More information

Generalized AOR Method for Solving System of Linear Equations. Davod Khojasteh Salkuyeh. Department of Mathematics, University of Mohaghegh Ardabili,

Generalized AOR Method for Solving System of Linear Equations. Davod Khojasteh Salkuyeh. Department of Mathematics, University of Mohaghegh Ardabili, Australian Journal of Basic and Applied Sciences, 5(3): 35-358, 20 ISSN 99-878 Generalized AOR Method for Solving Syste of Linear Equations Davod Khojasteh Salkuyeh Departent of Matheatics, University

More information

Current Developments in the Field of Shock Calibration

Current Developments in the Field of Shock Calibration XVIII IMEKO WORLD CONGRESS Metrology for a Sustainale Developent Septeer, 17, 6, Rio de Janeiro, Brazil Current Developents in te Field of Sock Caliration T. Bruns 1, A. Link, C. Elster 3 1 Pysikalisc-Tecnisce

More information

Statistical Logic Cell Delay Analysis Using a Current-based Model

Statistical Logic Cell Delay Analysis Using a Current-based Model Statistical Logic Cell Delay Analysis Using a Current-based Model Hanif Fatei Shahin Nazarian Massoud Pedra Dept. of EE-Systes, University of Southern California, Los Angeles, CA 90089 {fatei, shahin,

More information

The Indefinite Admittance Matrix

The Indefinite Admittance Matrix Subject: ndefinite Adittance Matrices Date: June 6, 998 The ndefinite Adittance Matrix The indefinite adittance atrix, designated F for short, is a circuit analsis technique i,ii,iii which lends itself

More information

Chapter 2. Small-Signal Model Parameter Extraction Method

Chapter 2. Small-Signal Model Parameter Extraction Method Chapter Sall-Signal Model Paraeter Extraction Method In this chapter, we introduce a new paraeter extraction technique for sall-signal HBT odeling. Figure - shows the sall-signal equivalent circuit of

More information

HOMEWORK HELP 2 FOR MATH 151

HOMEWORK HELP 2 FOR MATH 151 HOMEWORK HELP 2 FOR MATH 151 Here we go; te second round of omework elp. If tere are oters you would like to see, let me know! 2.4, 43 and 44 At wat points are te functions f(x) and g(x) = xf(x)continuous,

More information

The Measurement and Evaluation of Distribution Transformer Losses Under Non-Linear Loading

The Measurement and Evaluation of Distribution Transformer Losses Under Non-Linear Loading IEEE ower Engineering Society General Meeting, Denver CO, June 9, 4 / ESGM 4-7 e Measureent and Evaluation of Distribution ransforer Losses Under Non-Linear Loading Aleksandar Danjanovic,.D., Meber IEEE

More information

REDUCTION OF FINITE ELEMENT MODELS BY PARAMETER IDENTIFICATION

REDUCTION OF FINITE ELEMENT MODELS BY PARAMETER IDENTIFICATION ISSN 139 14X INFORMATION TECHNOLOGY AND CONTROL, 008, Vol.37, No.3 REDUCTION OF FINITE ELEMENT MODELS BY PARAMETER IDENTIFICATION Riantas Barauskas, Vidantas Riavičius Departent of Syste Analysis, Kaunas

More information

Bloom Features. Kwabena Boahen Bioengineering Department Stanford University Stanford CA, USA

Bloom Features. Kwabena Boahen Bioengineering Department Stanford University Stanford CA, USA 2015 International Conference on Coputational Science and Coputational Intelligence Bloo Features Asok Cutkosky Coputer Science Departent Stanford University Stanford CA, USA asokc@stanford.edu Kwabena

More information

Effective joint probabilistic data association using maximum a posteriori estimates of target states

Effective joint probabilistic data association using maximum a posteriori estimates of target states Effective joint probabilistic data association using axiu a posteriori estiates of target states 1 Viji Paul Panakkal, 2 Rajbabu Velurugan 1 Central Research Laboratory, Bharat Electronics Ltd., Bangalore,

More information

The research of the rst author was supported in part by an Information Technology

The research of the rst author was supported in part by an Information Technology Tecnical Report 95-376 Absorbing Boundary Conditions for te Scrodinger Equation Toas Fevens Hong Jiang February 16, 1995 Te researc of te rst autor was supported in part by an Inforation Tecnology Researc

More information

ON THE TWO-LEVEL PRECONDITIONING IN LEAST SQUARES METHOD

ON THE TWO-LEVEL PRECONDITIONING IN LEAST SQUARES METHOD PROCEEDINGS OF THE YEREVAN STATE UNIVERSITY Physical and Matheatical Sciences 04,, p. 7 5 ON THE TWO-LEVEL PRECONDITIONING IN LEAST SQUARES METHOD M a t h e a t i c s Yu. A. HAKOPIAN, R. Z. HOVHANNISYAN

More information

Numerical Solution of the MRLW Equation Using Finite Difference Method. 1 Introduction

Numerical Solution of the MRLW Equation Using Finite Difference Method. 1 Introduction ISSN 1749-3889 print, 1749-3897 online International Journal of Nonlinear Science Vol.1401 No.3,pp.355-361 Nuerical Solution of the MRLW Equation Using Finite Difference Method Pınar Keskin, Dursun Irk

More information

Analysis of Impulsive Natural Phenomena through Finite Difference Methods A MATLAB Computational Project-Based Learning

Analysis of Impulsive Natural Phenomena through Finite Difference Methods A MATLAB Computational Project-Based Learning Analysis of Ipulsive Natural Phenoena through Finite Difference Methods A MATLAB Coputational Project-Based Learning Nicholas Kuia, Christopher Chariah, Mechatronics Engineering, Vaughn College of Aeronautics

More information

5 Ordinary Differential Equations: Finite Difference Methods for Boundary Problems

5 Ordinary Differential Equations: Finite Difference Methods for Boundary Problems 5 Ordinary Differential Equations: Finite Difference Metods for Boundary Problems Read sections 10.1, 10.2, 10.4 Review questions 10.1 10.4, 10.8 10.9, 10.13 5.1 Introduction In te previous capters we

More information

EN40: Dynamics and Vibrations. Midterm Examination Tuesday March

EN40: Dynamics and Vibrations. Midterm Examination Tuesday March EN4: Dynaics and ibrations Midter Exaination Tuesday Marc 4 14 Scool of Engineering Brown University NAME: General Instructions No collaboration of any kind is peritted on tis exaination. You ay bring

More information

NUMERICAL MODELLING OF THE TYRE/ROAD CONTACT

NUMERICAL MODELLING OF THE TYRE/ROAD CONTACT NUMERICAL MODELLING OF THE TYRE/ROAD CONTACT PACS REFERENCE: 43.5.LJ Krister Larsson Departent of Applied Acoustics Chalers University of Technology SE-412 96 Sweden Tel: +46 ()31 772 22 Fax: +46 ()31

More information

COS 424: Interacting with Data. Written Exercises

COS 424: Interacting with Data. Written Exercises COS 424: Interacting with Data Hoework #4 Spring 2007 Regression Due: Wednesday, April 18 Written Exercises See the course website for iportant inforation about collaboration and late policies, as well

More information

The Design and Simulation of Electro-Hydraulic Velocity Control System

The Design and Simulation of Electro-Hydraulic Velocity Control System Te Design and Siulation of Electro-Hydraulic Velocity Control Syste Fengtao in * Key aboratory of Ministry of Education for Conveyance and Equipent, East Cina Jiaotong University, Nancang 330013, Cina

More information

Lecture 21. Interior Point Methods Setup and Algorithm

Lecture 21. Interior Point Methods Setup and Algorithm Lecture 21 Interior Point Methods In 1984, Kararkar introduced a new weakly polynoial tie algorith for solving LPs [Kar84a], [Kar84b]. His algorith was theoretically faster than the ellipsoid ethod and

More information

Polynomial Interpolation

Polynomial Interpolation Capter 4 Polynomial Interpolation In tis capter, we consider te important problem of approximatinga function fx, wose values at a set of distinct points x, x, x,, x n are known, by a polynomial P x suc

More information

An Approximate Model for the Theoretical Prediction of the Velocity Increase in the Intermediate Ballistics Period

An Approximate Model for the Theoretical Prediction of the Velocity Increase in the Intermediate Ballistics Period An Approxiate Model for the Theoretical Prediction of the Velocity... 77 Central European Journal of Energetic Materials, 205, 2(), 77-88 ISSN 2353-843 An Approxiate Model for the Theoretical Prediction

More information

An earlier article in this column considered the problem

An earlier article in this column considered the problem --- CALC CORNER Estiating nternal Air Cooling Teperature Reduction in a Closed Box Utilizing Theroelectrically Enhanced Heat Rejection Previously published in February, 2013 Bob Sions BM Retired The following

More information

ch (for some fixed positive number c) reaching c

ch (for some fixed positive number c) reaching c GSTF Journal of Matematics Statistics and Operations Researc (JMSOR) Vol. No. September 05 DOI 0.60/s4086-05-000-z Nonlinear Piecewise-defined Difference Equations wit Reciprocal and Cubic Terms Ramadan

More information

A Simple Regression Problem

A Simple Regression Problem A Siple Regression Proble R. M. Castro March 23, 2 In this brief note a siple regression proble will be introduced, illustrating clearly the bias-variance tradeoff. Let Y i f(x i ) + W i, i,..., n, where

More information

Lecture 9 November 23, 2015

Lecture 9 November 23, 2015 CSC244: Discrepancy Theory in Coputer Science Fall 25 Aleksandar Nikolov Lecture 9 Noveber 23, 25 Scribe: Nick Spooner Properties of γ 2 Recall that γ 2 (A) is defined for A R n as follows: γ 2 (A) = in{r(u)

More information

Chapter 10: Sinusoidal Steady-State Analysis

Chapter 10: Sinusoidal Steady-State Analysis Chapter 0: Sinusoidal Steady-State Analysis Sinusoidal Sources If a circuit is driven by a sinusoidal source, after 5 tie constants, the circuit reaches a steady-state (reeber the RC lab with t = τ). Consequently,

More information

On the Mixed Discretization of the Time Domain Magnetic Field Integral Equation

On the Mixed Discretization of the Time Domain Magnetic Field Integral Equation On the Mixed Discretization of the Tie Doain Magnetic Field Integral Equation H. A. Ülkü 1 I. Bogaert K. Cools 3 F. P. Andriulli 4 H. Bağ 1 Abstract Tie doain agnetic field integral equation (MFIE) is

More information

lecture 26: Richardson extrapolation

lecture 26: Richardson extrapolation 43 lecture 26: Ricardson extrapolation 35 Ricardson extrapolation, Romberg integration Trougout numerical analysis, one encounters procedures tat apply some simple approximation (eg, linear interpolation)

More information

arxiv: v3 [cs.ds] 22 Mar 2016

arxiv: v3 [cs.ds] 22 Mar 2016 A Shifting Bloo Filter Fraewor for Set Queries arxiv:1510.03019v3 [cs.ds] Mar 01 ABSTRACT Tong Yang Peing University, China yangtongeail@gail.co Yuanun Zhong Nanjing University, China un@sail.nju.edu.cn

More information

ANALYTICAL INVESTIGATION AND PARAMETRIC STUDY OF LATERAL IMPACT BEHAVIOR OF PRESSURIZED PIPELINES AND INFLUENCE OF INTERNAL PRESSURE

ANALYTICAL INVESTIGATION AND PARAMETRIC STUDY OF LATERAL IMPACT BEHAVIOR OF PRESSURIZED PIPELINES AND INFLUENCE OF INTERNAL PRESSURE DRAFT Proceedings of the ASME 014 International Mechanical Engineering Congress & Exposition IMECE014 Noveber 14-0, 014, Montreal, Quebec, Canada IMECE014-36371 ANALYTICAL INVESTIGATION AND PARAMETRIC

More information

Bernoulli Wavelet Based Numerical Method for Solving Fredholm Integral Equations of the Second Kind

Bernoulli Wavelet Based Numerical Method for Solving Fredholm Integral Equations of the Second Kind ISSN 746-7659, England, UK Journal of Inforation and Coputing Science Vol., No., 6, pp.-9 Bernoulli Wavelet Based Nuerical Method for Solving Fredhol Integral Equations of the Second Kind S. C. Shiralashetti*,

More information

Flavius Guiaş. X(t + h) = X(t) + F (X(s)) ds.

Flavius Guiaş. X(t + h) = X(t) + F (X(s)) ds. Numerical solvers for large systems of ordinary differential equations based on te stocastic direct simulation metod improved by te and Runge Kutta principles Flavius Guiaş Abstract We present a numerical

More information

On the Impact of Stochastic Loads and Wind Generation on Under Load Tap Changers

On the Impact of Stochastic Loads and Wind Generation on Under Load Tap Changers On te Ipact of Stocastic Loads and Wind Generation on Under Load Tap Cangers M. A. A. Murad, Student Meber, IEEE, F. M. Mele, Student Meber, IEEE, F. Milano, Fellow, IEEE Scool of Electrical & Electronic

More information

lecture 37: Linear Multistep Methods: Absolute Stability, Part I lecture 38: Linear Multistep Methods: Absolute Stability, Part II

lecture 37: Linear Multistep Methods: Absolute Stability, Part I lecture 38: Linear Multistep Methods: Absolute Stability, Part II lecture 37: Linear Multistep Methods: Absolute Stability, Part I lecture 3: Linear Multistep Methods: Absolute Stability, Part II 5.7 Linear ultistep ethods: absolute stability At this point, it ay well

More information

Low-complexity, Low-memory EMS algorithm for non-binary LDPC codes

Low-complexity, Low-memory EMS algorithm for non-binary LDPC codes Low-coplexity, Low-eory EMS algorith for non-binary LDPC codes Adrian Voicila,David Declercq, François Verdier ETIS ENSEA/CP/CNRS MR-85 954 Cergy-Pontoise, (France) Marc Fossorier Dept. Electrical Engineering

More information

Chapter 2. Limits and Continuity 16( ) 16( 9) = = 001. Section 2.1 Rates of Change and Limits (pp ) Quick Review 2.1

Chapter 2. Limits and Continuity 16( ) 16( 9) = = 001. Section 2.1 Rates of Change and Limits (pp ) Quick Review 2.1 Capter Limits and Continuity Section. Rates of Cange and Limits (pp. 969) Quick Review..... f ( ) ( ) ( ) 0 ( ) f ( ) f ( ) sin π sin π 0 f ( ). < < < 6. < c c < < c 7. < < < < < 8. 9. 0. c < d d < c

More information

Ufuk Demirci* and Feza Kerestecioglu**

Ufuk Demirci* and Feza Kerestecioglu** 1 INDIRECT ADAPTIVE CONTROL OF MISSILES Ufuk Deirci* and Feza Kerestecioglu** *Turkish Navy Guided Missile Test Station, Beykoz, Istanbul, TURKEY **Departent of Electrical and Electronics Engineering,

More information

Efficient Filter Banks And Interpolators

Efficient Filter Banks And Interpolators Efficient Filter Banks And Interpolators A. G. DEMPSTER AND N. P. MURPHY Departent of Electronic Systes University of Westinster 115 New Cavendish St, London W1M 8JS United Kingdo Abstract: - Graphical

More information

Runge-Kutta methods. With orders of Taylor methods yet without derivatives of f (t, y(t))

Runge-Kutta methods. With orders of Taylor methods yet without derivatives of f (t, y(t)) Runge-Kutta metods Wit orders of Taylor metods yet witout derivatives of f (t, y(t)) First order Taylor expansion in two variables Teorem: Suppose tat f (t, y) and all its partial derivatives are continuous

More information