Statistical Logic Cell Delay Analysis Using a Current-based Model

Size: px
Start display at page:

Download "Statistical Logic Cell Delay Analysis Using a Current-based Model"

Transcription

1 Statistical Logic Cell Delay Analysis Using a Current-based Model Hanif Fatei Shahin Nazarian Massoud Pedra Dept. of EE-Systes, University of Southern California, Los Angeles, CA {fatei, shahin, pedra}@usc.edu Abstract A statistical odel for the purpose of logic cell tiing analysis in the presence of process variations is presented. A new current-based cell delay odel is utilized, which can accurately copute the output wavefor for input wavefors of arbitrary shapes subjected to noise. The cell parasitic capacitances are pre-characterized by lookup tables to iprove the accuracy. To capture the effect of process paraeter variations on the cell behavior, the output voltage wavefor of logic cells is odeled by a stochastic Markovian process in which the voltage value probability distribution at each tie instance is coputed fro that of the previous tie instance. Next the probability distribution of α%v dd crossing tie, i.e., the hitting tie of the output voltage stochastic process is coputed. Experiental results deonstrate the high accuracy of our cell delay odel copared to Monte-Carlo-based SPICE siulations. Categories and Subject Descriptors: B.8. [Perforance and Reliability]: Perforance Analysis and Design Aids General Ters Algoriths, Measureent, Perforance, Design, Reliability Keywords Statistical gate tiing analysis, Crosstalk noise, Process variations. Introduction The downscaling of process technologies to 90n and below results in a significant increase in the device and interconnect anufacturing process variations of VLSI circuits. These effects can produce excessive tiing uncertainty, which in turn requires sophisticated tiing analysis techniques and tools to reduce the uncertainty. As the nuber of sources of variations increases, corner-based static tiing analysis (STA) techniques coputationally becoe very expensive. Moreover, with decreasing size of transistors and interconnect width, the variation of electrical characteristics is getting proportionally higher. The process corner approach, which used to work well, ay thus result in inaccurate estiates and suboptial designs. Statistical static tiing analysis (SSTA) has been used to address the above-entioned shortcoing of the STA. The fact that the interconnect delay ay doinate the cell delay in odern VLSI circuits has drawn attention toward producing faster and ore accurate interconnect delay odels. However, the conventional logic cell delay odels have not iproved as uch. They generally use the concept of voltage-based cell delay odeling, Perission to ake digital or hard copies of all or part of this work for personal or classroo use is granted without fee provided that copies are not ade or distributed for profit or coercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific perission and/or a fee. DAC 006, July 4 8, 006, San Francisco, California, USA. Copyright 006 ACM /06/0007 $5.00. eaning the cell is pre-characterized with -D lookup tables with input slew and output load as the keys to the tables and the output slew and gate delay as the output of the tables. These look-up tables are inherently incopatible with the arbitrary shapes of a noiseaffected input wavefor, and hence, fall apart in processing noisy inputs. Current-based logic cell delay odeling has been introduced as an alternative approach to cope with the shortcoings of the conventional approaches. Authors in [] describe a current-based odel in which a pre-characterized current source is utilized. They odel the parasitics of the logic cell with a single constant capacitance at the output node. The parasitic effects are not odeled accurately in [] e.g., the Miller and input parasitic effects are ignored. Keller et al in [] present the ost recent and accurate current-based odel (referred to as KTV, for Keller, Tseng, and Verghese, throughout this paper) in handling noisy wavefors of arbitrary shapes. Siilar to [], a pre-characterized current source is used. The parasitic coponents, naely the Miller and the output capacitances, are assued to be constant regardless of the input and output voltage values. Based on our observation, these capacitive effects can vary significantly depending on cell input and output voltages. The assuption of fixed values can give rise to large inaccuracy especially for coplex cells. Furtherore, this odel does not address the effect of process variations. On the other hand, the existing statistical gate-delay odels such as [3] and [4] rely on the conventional voltage-based cell delay odeling. This class of gate delay odeling approaches cannot handle the noisy input wavefors accurately. Therefore, the statistical gate delay analysis is restricted to noiseless rap input. The objective of the present work is to devise an accurate statistical logic cell delay odel to handle noisy inputs in the presence of process variations. The existing cell delay analysis techniques either do not accurately odel the parasitic and non-linear behavior of logic cells or do not handle the process variations properly. To address the first weakness, a new current-based odel in which the cell parasitics are pre-characterized as a function of the input and the output voltage values is presented. To address the second shortcoing, a atheatical ethod is presented whereby first the sensitivities of cell odel eleents with respect to the sources of variation are characterized. The output voltage is then represented by a stochastic Markovian process. Finally, using the probability distribution of output voltage values at each tie instance, the distribution of α%v dd crossing tie of the output voltage is accurately calculated. The reainder of this paper is organized as follows. In section our current-based logic cell circuit odel is described. Section 3 describes our statistical approach to consider process variations effects. Section 4 and 5 explain the experiental results and conclusions respectively.

2 . Current-based Cell Delay Modeling The ain otivation for us to create a new odel is that the existing current-based odels soeties exhibit rather large errors copared to SPICE. It is crucial to use a highly accurate circuit odel for considering the effect of process variations because the circuit odel error ay doinate the error of ignoring process variations. In such a case the analysis would not benefit fro consideration of process variations.. The proposed cell delay odel Our odel shown in Figure coprises of two ain coponents, naely, ) parasitic capacitances to odel the parasitic behavior at input and output nodes and the Miller effect between the nodes, and ) a current source at the output node to odel the nonlinear behavior of the logic cell. Each coponent is a function of the input and output voltages. As a result, the proposed cell odel is represented by the following KCL equation: Vo Vi io I( Vi, Vo ) ( Co ( Vi, Vo ) CM ( Vi, Vo )) CM ( Vi, Vo ) = 0 I(V i,v o ) is deterined for each logic cell by sweeping the DC values of input and output voltages and easuring the current sourced by the cell output pin in SPICE. A -D lookup table is constructed to store I(V i,v o ) values. In addition, C M (V i,v o ) and C o (V i,v o ) values are also characterized through a series of SPICE-based transient siulations, where saturated rap input and output voltages are applied to the input and/or output nodes and output current is onitored. -D lookup tables are used to store C M (V i,v o ) and C o (V i,v o ) values. V i i i C M(V i,v o) C o(v i,v o) C i(v i) I(V i,v o) Figure. Our proposed current-based circuit odel of a logic cell. Precise estiation of the output load is crucial for accurate delay calculation of a logic cell. The input parasitic capacitances of fan-out cells should be considered as part of the load for delay calculation of the driver cell. A transient analysis based on Equation () is used to deterine C i. Vi Vo ii = ( Ci ( Vi, Vo ) CM ( Vi, Vo )) CM ( Vi, Vo ) Although C i is in fact a function of the input and output voltage values, in practice an input-voltage-dependent C i is all that can be efficiently utilized. This is because when calculating the delay of a logic cell, the output voltage values of its fanout cells are unknown. Equation () can be rewritten by substituting the output current, i o, as a function of the output load and output voltage. Arbitrary (e.g., RLC) loads can be handled by our odel; however, without loss of generality, we consider a capacitive load, C L fro here on to siplify the presentation: V o Vo Vo Vi CL Co I( Vi, Vo ) CM CM = 0 Equation (3) can be rewritten w.r.t to output voltage values: Vo ( tk ) = Vo ( tk ) ( CM ( Vi ( tk ) Vi ( tk )) I( Vi, Vo ) ) (4) C C C L o M 3. Proposed Statistical Cell Delay Model As stated earlier, it is essential to account for the variations of physical paraeters in the logic cell odel. In this paper we consider L int, V TH0, T OX, and W int as the physical paraeters of interest. The logic cell eleents (i.e., the current source and capacitive parasitics) i o V o () (3) () are represented as a function of physical paraeters of the cell to capture the process variations. More precisely, a first order (FO) odel [5] is utilized to express any logic cell eleent E as a function of the physical paraeters: E = e e X e X (5) 0... Here, e o is the noinal value of E whereas e i is the sensitivity coefficient of eleent E with respect to physical paraeter X i. X i is the variation of X i and denotes the nuber of sources of variation. We assue that X i s have independent Gaussian probability distributions. (Paraeter distribution independence can be achieved by principal coponent analysis (PCA) [6],[7].) By using the best ean squared error fit, e i s are derived fro a series of Monte-Carlobased SPICE siulations. These sensitivity values are stored in -D look-up tables (c.f. Figure.) Figure. The sensitivity-based look-up tables to odel a physical paraeter variation. Equation (4) shows how to copute the output voltage when the cell is operating under the noinal condition. By applying rando variables associated with each cell eleent, this equation can be utilized to express the output voltage wavefor distribution in the presence of process variations as detailed next. We define Stochastic First Order (SFO) odeling for of a signal wavefor W(t) as an ordered set of N FO expressions f i ( N) where f i gives the variational linear for of W(t i ) and t i denotes the i th sapling point. A SSTA calculator propagates the SFO for of the voltage wavefors at the priary inputs throughout the circuit in linear-tie in the circuit size. The key task is thus how to propagate the SFO at the input of a logic gate, {f k }, to its output node (adopting the single input change odel), thus calculating the SFO of the output voltage wavefor, {g k }. To do this, we utilize Equation (4) as follows: ( ) C f f h g g g g X (6) M k k k k = k k,0 k, j j CL Co CM j= where h k denotes the FO for of current source of Figure as looked up by the noinal values of f k and g k. Notice that C o and C M are in the FO fors. In addition, C L has been cast into the FO for. The right hand side of Equation (6) exploits the fact that the division, ultiplication and suation of FO expressions can be perfored and the result cast into a FO for. For exaple, this ay be approxiately done by linearization with respect to X i s based on Taylor series expansion. Equation (4) can be used recursively, eaning that the output voltage at each tie instance can be written as a linear function of the sources of variation. Therefore, V o (t i ) which is the suation of the noral distributions of process paraeters is odeled by a noral distribution. The fact that the distribution of the output voltage at each tie instance can be coputed fro that at the previous tie instance leads us to the concept of a stochastic Markovian process [8] as explained next. Definition : A stochastic process x(t) is called a Markovian process if the following is satisfied for every n and t <t < <t n : e0 e e e3 e4

3 ( ( ) ( ),..., ( )) ( ( ) ( )) P X t x X t X t = P X t x X t (7) n n n- n n n- V o (t) is a Markovian stochastic process. We use this property to copute the distribution of any α%v dd crossing tie of V o (t). 3. Coputing the delay distribution As stated earlier, the current-based cell odel enables one to copute the output voltage distribution at each tie instance. However, the proble of interest is to copute the distribution of the delay or in general the distribution of any α%v dd crossing tie of the output voltage. For siplicity we noralize V o with respect to V dd. The proble can be stated as follows. Proble Stateent: Given the stochastic Markovian process V o (t i ), calculate the hitting tie probability (defined as follows:) P(T α t n ) where T α =inf {t i : V o (t i )=α} (8) By definition T α is the first tie that process V o reaches the value of α.. The hitting tie probability in Equation (8) is used to characterize the distribution of any α% crossing tie of the output voltage. By finding the hitting probability, we copute the cell delay distribution in the presence of the process variations. Lea : For a Markovian process X(t i ), P X ( t ) < α X ( t ) < α,..., X ( t ) < α = P X ( t ) < α X ( t ) < α ( n n- ) ( n n- ) This lea follows fro the Markovian process property. Theore : The hitting tie probability for the Markovian process V o (t i ) is coputed as follows: P ( T t ) = Λ where α n n ) < α V ( ti ) < α ) n if i : 0 (9) Λ = 0 otherwise Proof: P(T α t n ) = - P(T α > t n ). Without loss of generality, we assue that the output voltage is aking a low to high signal transition. Therefore, the event (T α > t n )) is equivalent to the joint event (V(t ) < α V(t ) < α V(t n ) < α), which siply states that all V o values up to t n are below α: ( α > n ) = ( ( ) < α, ( ) < α,..., ( n P ( V ( tn ) α V ( tn ) α,..., V ( t ) α ) P ( V ( tn ) α,..., V ( t ) α ) P ( V ( tn ) α V ( tn ) α ) P ( V ( tn ) α,..., V ( t ) α ) (Lea ) P ( V ( tn ) < α V ( tn P ( V ( tn ) < α V ( tn ) < α,..., V ( t P ( V ( tn ) < α,..., V ( t P ( V ( tn ) < α V ( tn P ( V ( tn ) < α V ( tn... P ( V ( t ) < α V ( t P ( V ( t P T t P V t V t V t = < < < < < = < < < < = = (0) Using the conditional probability and for P(V(t i- ) < α) > 0: ) < α V ( ti P ( V ( t ) ( ) ( - i < α V ti-) < α = () P V ( t Substituting equation () in Equation produces the desired result and concludes the proof. Equation (9) enables us to calculate the distribution of any α% crossing tie of the output voltage. The reainder of this section focuses on the calculation of probability in Equation (). The ter P(V(t i )<α) for i n can be directly calculated fro the probability distribution of the voltage at each tie instance. Definition : The bivariate noral distribution is given by: i- z P( x, x ) = exp ( ) πσ σ ρ ρ ( x µ ) ρ x µ x µ x µ σ σ σ σ ( )( ) ( ) where z = σ ρ = corr( X, X ) = and σ = cov( X, X ) σ σ () The distribution of the V o (t i ) and V o (t i- ) are correlated noral distributions (because each is a weighted linear suation of the noral distributions associated with the process.) In order to calculate the joint probability of V o (t i ) and V o (t i- ) fro Equation (), the following lea is applied to find their covariance. Lea : Suppose that the distribution of the voltage values at ties t i and t i- is given in first-order for as follows: (3) V ( t ) = a a X ; V ( t ) = b b X o i 0 i i o i 0 i i where is the nuber of sources of variation. X i s are noralized to N(0,) by appropriate coefficient scaling. The covariance of V o (t i ) and V o (t i- ) can be calculated as follows: = cov ( V ( t ), V ( t )) = E( ( V ( t ) E( V ( t ))) ( V ( t ) E( V ( t )))) σ o i o i o i o i o i o i = E ( ai Xi) ( bi Xi) = E aibi Xi E aibj X i X j j< i ( ) ( ) ( ) ( ) = a b because E X = and E X X = E X E X = 0. i i i i j i j Equation (9) along with definition and lea provide coplete inforation for coputing the probability distribution of any α% crossing tie of the output voltage. It is possible to encounter ultiple α% crossing ties. The procedure explained above can be used to iteratively find all α% crossing ties. More precisely, after the first α% crossing, a new Markovian process is considered that starts fro this hitting tie. Assuing low to high transition for the output voltage, the wavefor reaches the second hitting tie fro a higher voltage than α. The event (T α > t n ) is thus equivalent to the event (V(t ) > α V(t ) > α V(t n ) > α). Equation (9) can be easily odified to calculate the distribution of the second hitting tie for the new process, and so on. It can be shown that, for known and sall nuber of sources of variation, the algorith coplexity is O(n k ) where the pdf of the output voltage at each tie instance is discretized with k saple points. 4. Experiental Results To evaluate the proposed current-based odeling approach, it was copared with Hspice [9]. The set of experients involved various logic cells, including siple inverter and NAND gates, as well as coplex cells such as AOI (And-Or-Invert). Figure 3 shows coparison with Hspice for soe exaples of crosstalk-induced noisy wavefors given to a iniu size inverter in 30n cell library. The equivalent output wavefors generated by our odel atch the Hspice results closely. Next coparison with the KTV odel [] is presented. Figure 4 illustrates the absolute delay errors w.r.t. to Hspice for a iniu size inverter in our 30n cell library. The input line to the inverter is coupled by a 50fF capacitance and is under attack by an aggressor line. Both the victi and aggressor are driven by iniu size inverters. The cell under consideration has a FO4 load. The signal arrival tie of the input of the victi line driver is set to 0ps while that of the aggressor (i.e., the noise injection tie) is swept fro

4 00ps to 00ps with a tie step of ps. Copared to KTV, the accuracy of delay calculation for the iniu size inverter is iproved by 8.8% (7.3%) on average (ax), respectively. Figure 5 shows the absolute delay error trend for a siilar experient perfored on AOI cell with size 0x. The coupling value is 80fF. The accuracy iproveent in this case is 5.% (93.4%) on average (ax.) noisy input output (Our odel) output (Hspice) 0 5E-0 E-09.5E-09 E-09.5E-09 3E-09 Tie (sec) Figure 3. The actual and equivalent wavefors by our odel for soe crosstalk-induced noisy wavefors. 4.00E- 3.80E- 3.60E- 3.40E- 3.0E- 3.00E-.80E-.60E-.40E-.0E- delay error vs. HSpice (sec) Our odel KTV odel.00e- E-0.E-0.4E-0.6E-0.8E-0 Noise injection tie at cell input (sec) E-0 Figure 4. Absolute errors in calculated delay for a in size inverter E- 9E- 8E- 7E- 6E- 5E- 4E- 3E- E- delay error vs. HSpice (sec) KTV odel E- Our odel 0 E-0.E-0.4E-0.6E-0.8E-0 E-0.E-0.4E-0 Noise injection tie (sec) Figure 5. Absolute errors in calculated delay for an AOI size 0x. As discussed earlier, the shape of the wavefor greatly ipacts the delay calculation, therefore, delay and output slew etrics ay not be sufficient to odel the wavefor shape. Our current-based odel is capable of producing output wavefors whose shapes are very close to those produced by Hspice. Mean square error (MSE) is a good etric to copare wavefor shapes. Figure 6 shows MSE for our cell odel and KTV copared to Hspice. It is seen that this value is lower for our odel copared to KTV in ost of the cases. In fact, the average MSE iproveent for the inverter (AOI) for the aforeentioned experient setup is.3% (4.5%.) Next, we evaluate our statistical analysis ethodology in handling the effect of the process variations on cell output wavefor and, ore specifically, the cell delay. We perfored extensive Hspice based Monte-Carlo siulations to calculate the 50% cell delay distribution over our test-cases, naely, the iniu size inverter as well as the AOI cell with the sae experiental setup explained before. We assued 3σ variation of 5% for the sources of variation [0]. We also calculated the delay distribution using the atheatical approach presented in section 3. For each arrival tie of the aggressor (noise injection tie) we copared the ean and the variance of the 50% delay coputed by our proposed atheatical approach and that of Monte-Carlo siulation. The average and axiu error results over all noise injection ties are reported in Table..E-09.09E-09.07E-09.05E-09.03E-09.0E E E E-0 MSE vs. Hspice KTV odel 9.30E-0 9.0E-0 Our odel.00e-0.0e-0.40e-0.60e-0.80e-0.00e-0 Noise injection tie at cell input (sec) Figure 6. Wavefor siilarity (ean square error) coparison to Hspice for our odel and the KTV odel. Table : Average and axiu percentage error by our atheatical ethod and Monte-Carlo. Error % Mean Variance Avg error Max error Avg error Max error Inverter.% 3.9%.5% 4.4% AOI.4% 4.5%.7% 4.9% 5. Conclusions A statistical logic cell delay odel for the purpose of cell tiing analysis was presented. A new current-based cell delay odel was developed to accurately capture various cell parasitic and nonlinear effects in the coputation of output voltage wavefor in the presence of crosstalk-induced noise. A novel statistical analysis ethodology was also presented to capture the effect of process variations on the cell output wavefor. The cell output voltage wavefor was odeled by a Markovian stochastic process. Consequently the distribution of cell tiing paraeters such as α% crossing tie was coputed. Experiental results showed the high accuracy of our cell delay odel copared to the existing cell delay odels. Coparison with Monte-Carlo-based SPICE siulations shows the high accuracy of the presented atheatical approach in dealing with process variations. References [] J.F. Croix, D.F. Wong, Blade and razor: cell and interconnect delay analysis using current-based odels, Proc. DAC, pp , 003. [] I. Keller, K. Tseng, N. Verghese, A robust cell-level crosstalk delay change analysis, Proc. ICCAD, pp.47-54, 004. [3] K. Okada, K. Yaaoka, H. Onodera, A statistical gate-delay odel considering intra-gate variability, Proc. ICCAD, pp , 003. [4] A.Agarwal, F.Dartu, D.Blaauw, Statistical gate delay odel considering ultiple input switching, Proc. DAC, pp , 004. [5] C.Visweswariah, K.Ravindran, K.Kalafala, S.G.Walker, S. Narayan, First-order increental block-based statistical tiing analysis, Proc. DAC, pp , 004. [6] H. Chang, V. Zolotov, C. Visweswariah, S. Narayan, Paraeterized Block-Based Statistical Tiing Analysis with Non-Gaussian Paraeters and Nonlinear Delay Functions, Proc. DAC, pp. 7-76, 005. [7] H. chang, S. Sapatnekar, Statistical Tiing Analysis Considering Spatial Correlations Using a Single PERT-like Traversal, Proc. ICCAD, pp. 6-65, 003. [8] G.F. Lawler, Introduction to Stochastic Process, Chapan & Hall, 000.

5 [9] Hspice: The golden standard for Accurate Circuit Siulation, [0] S. Nassif, Modeling and Analysis of Manufacturing Variations, Proc. CICC, pp. 3-8, 00.

Non-Parametric Non-Line-of-Sight Identification 1

Non-Parametric Non-Line-of-Sight Identification 1 Non-Paraetric Non-Line-of-Sight Identification Sinan Gezici, Hisashi Kobayashi and H. Vincent Poor Departent of Electrical Engineering School of Engineering and Applied Science Princeton University, Princeton,

More information

Extension of CSRSM for the Parametric Study of the Face Stability of Pressurized Tunnels

Extension of CSRSM for the Parametric Study of the Face Stability of Pressurized Tunnels Extension of CSRSM for the Paraetric Study of the Face Stability of Pressurized Tunnels Guilhe Mollon 1, Daniel Dias 2, and Abdul-Haid Soubra 3, M.ASCE 1 LGCIE, INSA Lyon, Université de Lyon, Doaine scientifique

More information

Using EM To Estimate A Probablity Density With A Mixture Of Gaussians

Using EM To Estimate A Probablity Density With A Mixture Of Gaussians Using EM To Estiate A Probablity Density With A Mixture Of Gaussians Aaron A. D Souza adsouza@usc.edu Introduction The proble we are trying to address in this note is siple. Given a set of data points

More information

A Simplified Analytical Approach for Efficiency Evaluation of the Weaving Machines with Automatic Filling Repair

A Simplified Analytical Approach for Efficiency Evaluation of the Weaving Machines with Automatic Filling Repair Proceedings of the 6th SEAS International Conference on Siulation, Modelling and Optiization, Lisbon, Portugal, Septeber -4, 006 0 A Siplified Analytical Approach for Efficiency Evaluation of the eaving

More information

Convexity-Based Optimization for Power-Delay Tradeoff using Transistor Sizing

Convexity-Based Optimization for Power-Delay Tradeoff using Transistor Sizing Convexity-Based Optiization for Power-Delay Tradeoff using Transistor Sizing Mahesh Ketkar, and Sachin S. Sapatnekar Departent of Electrical and Coputer Engineering University of Minnesota, Minneapolis,

More information

EE5900 Spring Lecture 4 IC interconnect modeling methods Zhuo Feng

EE5900 Spring Lecture 4 IC interconnect modeling methods Zhuo Feng EE59 Spring Parallel LSI AD Algoriths Lecture I interconnect odeling ethods Zhuo Feng. Z. Feng MTU EE59 So far we ve considered only tie doain analyses We ll soon see that it is soeties preferable to odel

More information

Feature Extraction Techniques

Feature Extraction Techniques Feature Extraction Techniques Unsupervised Learning II Feature Extraction Unsupervised ethods can also be used to find features which can be useful for categorization. There are unsupervised ethods that

More information

Support Vector Machine Classification of Uncertain and Imbalanced data using Robust Optimization

Support Vector Machine Classification of Uncertain and Imbalanced data using Robust Optimization Recent Researches in Coputer Science Support Vector Machine Classification of Uncertain and Ibalanced data using Robust Optiization RAGHAV PAT, THEODORE B. TRAFALIS, KASH BARKER School of Industrial Engineering

More information

SPECTRUM sensing is a core concept of cognitive radio

SPECTRUM sensing is a core concept of cognitive radio World Acadey of Science, Engineering and Technology International Journal of Electronics and Counication Engineering Vol:6, o:2, 202 Efficient Detection Using Sequential Probability Ratio Test in Mobile

More information

ESTIMATING AND FORMING CONFIDENCE INTERVALS FOR EXTREMA OF RANDOM POLYNOMIALS. A Thesis. Presented to. The Faculty of the Department of Mathematics

ESTIMATING AND FORMING CONFIDENCE INTERVALS FOR EXTREMA OF RANDOM POLYNOMIALS. A Thesis. Presented to. The Faculty of the Department of Mathematics ESTIMATING AND FORMING CONFIDENCE INTERVALS FOR EXTREMA OF RANDOM POLYNOMIALS A Thesis Presented to The Faculty of the Departent of Matheatics San Jose State University In Partial Fulfillent of the Requireents

More information

13.2 Fully Polynomial Randomized Approximation Scheme for Permanent of Random 0-1 Matrices

13.2 Fully Polynomial Randomized Approximation Scheme for Permanent of Random 0-1 Matrices CS71 Randoness & Coputation Spring 018 Instructor: Alistair Sinclair Lecture 13: February 7 Disclaier: These notes have not been subjected to the usual scrutiny accorded to foral publications. They ay

More information

Bayesian Approach for Fatigue Life Prediction from Field Inspection

Bayesian Approach for Fatigue Life Prediction from Field Inspection Bayesian Approach for Fatigue Life Prediction fro Field Inspection Dawn An and Jooho Choi School of Aerospace & Mechanical Engineering, Korea Aerospace University, Goyang, Seoul, Korea Srira Pattabhiraan

More information

Fast Montgomery-like Square Root Computation over GF(2 m ) for All Trinomials

Fast Montgomery-like Square Root Computation over GF(2 m ) for All Trinomials Fast Montgoery-like Square Root Coputation over GF( ) for All Trinoials Yin Li a, Yu Zhang a, a Departent of Coputer Science and Technology, Xinyang Noral University, Henan, P.R.China Abstract This letter

More information

A Simple Regression Problem

A Simple Regression Problem A Siple Regression Proble R. M. Castro March 23, 2 In this brief note a siple regression proble will be introduced, illustrating clearly the bias-variance tradeoff. Let Y i f(x i ) + W i, i,..., n, where

More information

An Improved Particle Filter with Applications in Ballistic Target Tracking

An Improved Particle Filter with Applications in Ballistic Target Tracking Sensors & ransducers Vol. 72 Issue 6 June 204 pp. 96-20 Sensors & ransducers 204 by IFSA Publishing S. L. http://www.sensorsportal.co An Iproved Particle Filter with Applications in Ballistic arget racing

More information

Figure 1: Equivalent electric (RC) circuit of a neurons membrane

Figure 1: Equivalent electric (RC) circuit of a neurons membrane Exercise: Leaky integrate and fire odel of neural spike generation This exercise investigates a siplified odel of how neurons spike in response to current inputs, one of the ost fundaental properties of

More information

Uniform Approximation and Bernstein Polynomials with Coefficients in the Unit Interval

Uniform Approximation and Bernstein Polynomials with Coefficients in the Unit Interval Unifor Approxiation and Bernstein Polynoials with Coefficients in the Unit Interval Weiang Qian and Marc D. Riedel Electrical and Coputer Engineering, University of Minnesota 200 Union St. S.E. Minneapolis,

More information

e-companion ONLY AVAILABLE IN ELECTRONIC FORM

e-companion ONLY AVAILABLE IN ELECTRONIC FORM OPERATIONS RESEARCH doi 10.1287/opre.1070.0427ec pp. ec1 ec5 e-copanion ONLY AVAILABLE IN ELECTRONIC FORM infors 07 INFORMS Electronic Copanion A Learning Approach for Interactive Marketing to a Custoer

More information

Detection and Estimation Theory

Detection and Estimation Theory ESE 54 Detection and Estiation Theory Joseph A. O Sullivan Sauel C. Sachs Professor Electronic Systes and Signals Research Laboratory Electrical and Systes Engineering Washington University 11 Urbauer

More information

Block designs and statistics

Block designs and statistics Bloc designs and statistics Notes for Math 447 May 3, 2011 The ain paraeters of a bloc design are nuber of varieties v, bloc size, nuber of blocs b. A design is built on a set of v eleents. Each eleent

More information

Correlated Bayesian Model Fusion: Efficient Performance Modeling of Large-Scale Tunable Analog/RF Integrated Circuits

Correlated Bayesian Model Fusion: Efficient Performance Modeling of Large-Scale Tunable Analog/RF Integrated Circuits Correlated Bayesian odel Fusion: Efficient Perforance odeling of Large-Scale unable Analog/RF Integrated Circuits Fa Wang and Xin Li ECE Departent, Carnegie ellon University, Pittsburgh, PA 53 {fwang,

More information

Lost-Sales Problems with Stochastic Lead Times: Convexity Results for Base-Stock Policies

Lost-Sales Problems with Stochastic Lead Times: Convexity Results for Base-Stock Policies OPERATIONS RESEARCH Vol. 52, No. 5, Septeber October 2004, pp. 795 803 issn 0030-364X eissn 1526-5463 04 5205 0795 infors doi 10.1287/opre.1040.0130 2004 INFORMS TECHNICAL NOTE Lost-Sales Probles with

More information

2 Q 10. Likewise, in case of multiple particles, the corresponding density in 2 must be averaged over all

2 Q 10. Likewise, in case of multiple particles, the corresponding density in 2 must be averaged over all Lecture 6 Introduction to kinetic theory of plasa waves Introduction to kinetic theory So far we have been odeling plasa dynaics using fluid equations. The assuption has been that the pressure can be either

More information

Analyzing Simulation Results

Analyzing Simulation Results Analyzing Siulation Results Dr. John Mellor-Cruey Departent of Coputer Science Rice University johnc@cs.rice.edu COMP 528 Lecture 20 31 March 2005 Topics for Today Model verification Model validation Transient

More information

Using a De-Convolution Window for Operating Modal Analysis

Using a De-Convolution Window for Operating Modal Analysis Using a De-Convolution Window for Operating Modal Analysis Brian Schwarz Vibrant Technology, Inc. Scotts Valley, CA Mark Richardson Vibrant Technology, Inc. Scotts Valley, CA Abstract Operating Modal Analysis

More information

Upper bound on false alarm rate for landmine detection and classification using syntactic pattern recognition

Upper bound on false alarm rate for landmine detection and classification using syntactic pattern recognition Upper bound on false alar rate for landine detection and classification using syntactic pattern recognition Ahed O. Nasif, Brian L. Mark, Kenneth J. Hintz, and Nathalia Peixoto Dept. of Electrical and

More information

th Annual IEEE Power Electronics Specialists Conference Aachen, Germany, Parallel Connection of Piezoelectric Transformers

th Annual IEEE Power Electronics Specialists Conference Aachen, Germany, Parallel Connection of Piezoelectric Transformers 004 35th Annual IEEE ower Electronics Specialists Conference Aachen, Gerany, 004 arallel Connection of iezoelectric Transforers Svetlana Bronstein, Gregory Ivensky and Sa Ben-Yaakov* ower Electronics Laboratory

More information

Proc. of the IEEE/OES Seventh Working Conference on Current Measurement Technology UNCERTAINTIES IN SEASONDE CURRENT VELOCITIES

Proc. of the IEEE/OES Seventh Working Conference on Current Measurement Technology UNCERTAINTIES IN SEASONDE CURRENT VELOCITIES Proc. of the IEEE/OES Seventh Working Conference on Current Measureent Technology UNCERTAINTIES IN SEASONDE CURRENT VELOCITIES Belinda Lipa Codar Ocean Sensors 15 La Sandra Way, Portola Valley, CA 98 blipa@pogo.co

More information

Sharp Time Data Tradeoffs for Linear Inverse Problems

Sharp Time Data Tradeoffs for Linear Inverse Problems Sharp Tie Data Tradeoffs for Linear Inverse Probles Saet Oyak Benjain Recht Mahdi Soltanolkotabi January 016 Abstract In this paper we characterize sharp tie-data tradeoffs for optiization probles used

More information

DEPARTMENT OF ECONOMETRICS AND BUSINESS STATISTICS

DEPARTMENT OF ECONOMETRICS AND BUSINESS STATISTICS ISSN 1440-771X AUSTRALIA DEPARTMENT OF ECONOMETRICS AND BUSINESS STATISTICS An Iproved Method for Bandwidth Selection When Estiating ROC Curves Peter G Hall and Rob J Hyndan Working Paper 11/00 An iproved

More information

MSEC MODELING OF DEGRADATION PROCESSES TO OBTAIN AN OPTIMAL SOLUTION FOR MAINTENANCE AND PERFORMANCE

MSEC MODELING OF DEGRADATION PROCESSES TO OBTAIN AN OPTIMAL SOLUTION FOR MAINTENANCE AND PERFORMANCE Proceeding of the ASME 9 International Manufacturing Science and Engineering Conference MSEC9 October 4-7, 9, West Lafayette, Indiana, USA MSEC9-8466 MODELING OF DEGRADATION PROCESSES TO OBTAIN AN OPTIMAL

More information

Identical Maximum Likelihood State Estimation Based on Incremental Finite Mixture Model in PHD Filter

Identical Maximum Likelihood State Estimation Based on Incremental Finite Mixture Model in PHD Filter Identical Maxiu Lielihood State Estiation Based on Increental Finite Mixture Model in PHD Filter Gang Wu Eail: xjtuwugang@gail.co Jing Liu Eail: elelj20080730@ail.xjtu.edu.cn Chongzhao Han Eail: czhan@ail.xjtu.edu.cn

More information

Testing equality of variances for multiple univariate normal populations

Testing equality of variances for multiple univariate normal populations University of Wollongong Research Online Centre for Statistical & Survey Methodology Working Paper Series Faculty of Engineering and Inforation Sciences 0 esting equality of variances for ultiple univariate

More information

The Wilson Model of Cortical Neurons Richard B. Wells

The Wilson Model of Cortical Neurons Richard B. Wells The Wilson Model of Cortical Neurons Richard B. Wells I. Refineents on the odgkin-uxley Model The years since odgkin s and uxley s pioneering work have produced a nuber of derivative odgkin-uxley-like

More information

Ch 12: Variations on Backpropagation

Ch 12: Variations on Backpropagation Ch 2: Variations on Backpropagation The basic backpropagation algorith is too slow for ost practical applications. It ay take days or weeks of coputer tie. We deonstrate why the backpropagation algorith

More information

Data-Driven Imaging in Anisotropic Media

Data-Driven Imaging in Anisotropic Media 18 th World Conference on Non destructive Testing, 16- April 1, Durban, South Africa Data-Driven Iaging in Anisotropic Media Arno VOLKER 1 and Alan HUNTER 1 TNO Stieltjesweg 1, 6 AD, Delft, The Netherlands

More information

Support recovery in compressed sensing: An estimation theoretic approach

Support recovery in compressed sensing: An estimation theoretic approach Support recovery in copressed sensing: An estiation theoretic approach Ain Karbasi, Ali Horati, Soheil Mohajer, Martin Vetterli School of Coputer and Counication Sciences École Polytechnique Fédérale de

More information

Inspection; structural health monitoring; reliability; Bayesian analysis; updating; decision analysis; value of information

Inspection; structural health monitoring; reliability; Bayesian analysis; updating; decision analysis; value of information Cite as: Straub D. (2014). Value of inforation analysis with structural reliability ethods. Structural Safety, 49: 75-86. Value of Inforation Analysis with Structural Reliability Methods Daniel Straub

More information

SEISMIC FRAGILITY ANALYSIS

SEISMIC FRAGILITY ANALYSIS 9 th ASCE Specialty Conference on Probabilistic Mechanics and Structural Reliability PMC24 SEISMIC FRAGILITY ANALYSIS C. Kafali, Student M. ASCE Cornell University, Ithaca, NY 483 ck22@cornell.edu M. Grigoriu,

More information

Interactive Markov Models of Evolutionary Algorithms

Interactive Markov Models of Evolutionary Algorithms Cleveland State University EngagedScholarship@CSU Electrical Engineering & Coputer Science Faculty Publications Electrical Engineering & Coputer Science Departent 2015 Interactive Markov Models of Evolutionary

More information

Randomized Recovery for Boolean Compressed Sensing

Randomized Recovery for Boolean Compressed Sensing Randoized Recovery for Boolean Copressed Sensing Mitra Fatei and Martin Vetterli Laboratory of Audiovisual Counication École Polytechnique Fédéral de Lausanne (EPFL) Eail: {itra.fatei, artin.vetterli}@epfl.ch

More information

Multiscale Entropy Analysis: A New Method to Detect Determinism in a Time. Series. A. Sarkar and P. Barat. Variable Energy Cyclotron Centre

Multiscale Entropy Analysis: A New Method to Detect Determinism in a Time. Series. A. Sarkar and P. Barat. Variable Energy Cyclotron Centre Multiscale Entropy Analysis: A New Method to Detect Deterinis in a Tie Series A. Sarkar and P. Barat Variable Energy Cyclotron Centre /AF Bidhan Nagar, Kolkata 700064, India PACS nubers: 05.45.Tp, 89.75.-k,

More information

Probability Distributions

Probability Distributions Probability Distributions In Chapter, we ephasized the central role played by probability theory in the solution of pattern recognition probles. We turn now to an exploration of soe particular exaples

More information

CHAPTER 19: Single-Loop IMC Control

CHAPTER 19: Single-Loop IMC Control When I coplete this chapter, I want to be able to do the following. Recognize that other feedback algoriths are possible Understand the IMC structure and how it provides the essential control features

More information

A Self-Organizing Model for Logical Regression Jerry Farlow 1 University of Maine. (1900 words)

A Self-Organizing Model for Logical Regression Jerry Farlow 1 University of Maine. (1900 words) 1 A Self-Organizing Model for Logical Regression Jerry Farlow 1 University of Maine (1900 words) Contact: Jerry Farlow Dept of Matheatics Univeristy of Maine Orono, ME 04469 Tel (07) 866-3540 Eail: farlow@ath.uaine.edu

More information

IN modern society that various systems have become more

IN modern society that various systems have become more Developent of Reliability Function in -Coponent Standby Redundant Syste with Priority Based on Maxiu Entropy Principle Ryosuke Hirata, Ikuo Arizono, Ryosuke Toohiro, Satoshi Oigawa, and Yasuhiko Takeoto

More information

A note on the multiplication of sparse matrices

A note on the multiplication of sparse matrices Cent. Eur. J. Cop. Sci. 41) 2014 1-11 DOI: 10.2478/s13537-014-0201-x Central European Journal of Coputer Science A note on the ultiplication of sparse atrices Research Article Keivan Borna 12, Sohrab Aboozarkhani

More information

In this chapter, we consider several graph-theoretic and probabilistic models

In this chapter, we consider several graph-theoretic and probabilistic models THREE ONE GRAPH-THEORETIC AND STATISTICAL MODELS 3.1 INTRODUCTION In this chapter, we consider several graph-theoretic and probabilistic odels for a social network, which we do under different assuptions

More information

Nonmonotonic Networks. a. IRST, I Povo (Trento) Italy, b. Univ. of Trento, Physics Dept., I Povo (Trento) Italy

Nonmonotonic Networks. a. IRST, I Povo (Trento) Italy, b. Univ. of Trento, Physics Dept., I Povo (Trento) Italy Storage Capacity and Dynaics of Nononotonic Networks Bruno Crespi a and Ignazio Lazzizzera b a. IRST, I-38050 Povo (Trento) Italy, b. Univ. of Trento, Physics Dept., I-38050 Povo (Trento) Italy INFN Gruppo

More information

Estimation of the Mean of the Exponential Distribution Using Maximum Ranked Set Sampling with Unequal Samples

Estimation of the Mean of the Exponential Distribution Using Maximum Ranked Set Sampling with Unequal Samples Open Journal of Statistics, 4, 4, 64-649 Published Online Septeber 4 in SciRes http//wwwscirporg/ournal/os http//ddoiorg/436/os4486 Estiation of the Mean of the Eponential Distribution Using Maiu Ranked

More information

An Approximate Model for the Theoretical Prediction of the Velocity Increase in the Intermediate Ballistics Period

An Approximate Model for the Theoretical Prediction of the Velocity Increase in the Intermediate Ballistics Period An Approxiate Model for the Theoretical Prediction of the Velocity... 77 Central European Journal of Energetic Materials, 205, 2(), 77-88 ISSN 2353-843 An Approxiate Model for the Theoretical Prediction

More information

Lower Bounds for Quantized Matrix Completion

Lower Bounds for Quantized Matrix Completion Lower Bounds for Quantized Matrix Copletion Mary Wootters and Yaniv Plan Departent of Matheatics University of Michigan Ann Arbor, MI Eail: wootters, yplan}@uich.edu Mark A. Davenport School of Elec. &

More information

LogLog-Beta and More: A New Algorithm for Cardinality Estimation Based on LogLog Counting

LogLog-Beta and More: A New Algorithm for Cardinality Estimation Based on LogLog Counting LogLog-Beta and More: A New Algorith for Cardinality Estiation Based on LogLog Counting Jason Qin, Denys Ki, Yuei Tung The AOLP Core Data Service, AOL, 22000 AOL Way Dulles, VA 20163 E-ail: jasonqin@teaaolco

More information

Analytical Model of Epidemic Routing for Delay-Tolerant Networks Qingshan Wang School of Mathematics Hefei University of Technology Hefei, China

Analytical Model of Epidemic Routing for Delay-Tolerant Networks Qingshan Wang School of Mathematics Hefei University of Technology Hefei, China Analytical Model of Epideic Routing for Delay-Tolerant etwors Qingshan Wang School of Matheatics Hefei University of Technology Hefei, China qswang@hfut.edu.cn Zygunt J. Haas School of Electrical and Coputer

More information

TEST OF HOMOGENEITY OF PARALLEL SAMPLES FROM LOGNORMAL POPULATIONS WITH UNEQUAL VARIANCES

TEST OF HOMOGENEITY OF PARALLEL SAMPLES FROM LOGNORMAL POPULATIONS WITH UNEQUAL VARIANCES TEST OF HOMOGENEITY OF PARALLEL SAMPLES FROM LOGNORMAL POPULATIONS WITH UNEQUAL VARIANCES S. E. Ahed, R. J. Tokins and A. I. Volodin Departent of Matheatics and Statistics University of Regina Regina,

More information

Experimental Design For Model Discrimination And Precise Parameter Estimation In WDS Analysis

Experimental Design For Model Discrimination And Precise Parameter Estimation In WDS Analysis City University of New York (CUNY) CUNY Acadeic Works International Conference on Hydroinforatics 8-1-2014 Experiental Design For Model Discriination And Precise Paraeter Estiation In WDS Analysis Giovanna

More information

Qualitative Modelling of Time Series Using Self-Organizing Maps: Application to Animal Science

Qualitative Modelling of Time Series Using Self-Organizing Maps: Application to Animal Science Proceedings of the 6th WSEAS International Conference on Applied Coputer Science, Tenerife, Canary Islands, Spain, Deceber 16-18, 2006 183 Qualitative Modelling of Tie Series Using Self-Organizing Maps:

More information

Symbolic Analysis as Universal Tool for Deriving Properties of Non-linear Algorithms Case study of EM Algorithm

Symbolic Analysis as Universal Tool for Deriving Properties of Non-linear Algorithms Case study of EM Algorithm Acta Polytechnica Hungarica Vol., No., 04 Sybolic Analysis as Universal Tool for Deriving Properties of Non-linear Algoriths Case study of EM Algorith Vladiir Mladenović, Miroslav Lutovac, Dana Porrat

More information

Warning System of Dangerous Chemical Gas in Factory Based on Wireless Sensor Network

Warning System of Dangerous Chemical Gas in Factory Based on Wireless Sensor Network 565 A publication of CHEMICAL ENGINEERING TRANSACTIONS VOL. 59, 07 Guest Editors: Zhuo Yang, Junie Ba, Jing Pan Copyright 07, AIDIC Servizi S.r.l. ISBN 978-88-95608-49-5; ISSN 83-96 The Italian Association

More information

CS Lecture 13. More Maximum Likelihood

CS Lecture 13. More Maximum Likelihood CS 6347 Lecture 13 More Maxiu Likelihood Recap Last tie: Introduction to axiu likelihood estiation MLE for Bayesian networks Optial CPTs correspond to epirical counts Today: MLE for CRFs 2 Maxiu Likelihood

More information

Department of Electronic and Optical Engineering, Ordnance Engineering College, Shijiazhuang, , China

Department of Electronic and Optical Engineering, Ordnance Engineering College, Shijiazhuang, , China 6th International Conference on Machinery, Materials, Environent, Biotechnology and Coputer (MMEBC 06) Solving Multi-Sensor Multi-Target Assignent Proble Based on Copositive Cobat Efficiency and QPSO Algorith

More information

General Properties of Radiation Detectors Supplements

General Properties of Radiation Detectors Supplements Phys. 649: Nuclear Techniques Physics Departent Yarouk University Chapter 4: General Properties of Radiation Detectors Suppleents Dr. Nidal M. Ershaidat Overview Phys. 649: Nuclear Techniques Physics Departent

More information

On the Analysis of the Quantum-inspired Evolutionary Algorithm with a Single Individual

On the Analysis of the Quantum-inspired Evolutionary Algorithm with a Single Individual 6 IEEE Congress on Evolutionary Coputation Sheraton Vancouver Wall Centre Hotel, Vancouver, BC, Canada July 16-1, 6 On the Analysis of the Quantu-inspired Evolutionary Algorith with a Single Individual

More information

Soft Computing Techniques Help Assign Weights to Different Factors in Vulnerability Analysis

Soft Computing Techniques Help Assign Weights to Different Factors in Vulnerability Analysis Soft Coputing Techniques Help Assign Weights to Different Factors in Vulnerability Analysis Beverly Rivera 1,2, Irbis Gallegos 1, and Vladik Kreinovich 2 1 Regional Cyber and Energy Security Center RCES

More information

Vulnerability of MRD-Code-Based Universal Secure Error-Correcting Network Codes under Time-Varying Jamming Links

Vulnerability of MRD-Code-Based Universal Secure Error-Correcting Network Codes under Time-Varying Jamming Links Vulnerability of MRD-Code-Based Universal Secure Error-Correcting Network Codes under Tie-Varying Jaing Links Jun Kurihara KDDI R&D Laboratories, Inc 2 5 Ohara, Fujiino, Saitaa, 356 8502 Japan Eail: kurihara@kddilabsjp

More information

Envelope frequency Response Function Analysis of Mechanical Structures with Uncertain Modal Damping Characteristics

Envelope frequency Response Function Analysis of Mechanical Structures with Uncertain Modal Damping Characteristics Copyright c 2007 Tech Science Press CMES, vol.22, no.2, pp.129-149, 2007 Envelope frequency Response Function Analysis of Mechanical Structures with Uncertain Modal Daping Characteristics D. Moens 1, M.

More information

Recursive Algebraic Frisch Scheme: a Particle-Based Approach

Recursive Algebraic Frisch Scheme: a Particle-Based Approach Recursive Algebraic Frisch Schee: a Particle-Based Approach Stefano Massaroli Renato Myagusuku Federico Califano Claudio Melchiorri Atsushi Yaashita Hajie Asaa Departent of Precision Engineering, The University

More information

Fairness via priority scheduling

Fairness via priority scheduling Fairness via priority scheduling Veeraruna Kavitha, N Heachandra and Debayan Das IEOR, IIT Bobay, Mubai, 400076, India vavitha,nh,debayan}@iitbacin Abstract In the context of ulti-agent resource allocation

More information

PULSE-TRAIN BASED TIME-DELAY ESTIMATION IMPROVES RESILIENCY TO NOISE

PULSE-TRAIN BASED TIME-DELAY ESTIMATION IMPROVES RESILIENCY TO NOISE PULSE-TRAIN BASED TIME-DELAY ESTIMATION IMPROVES RESILIENCY TO NOISE 1 Nicola Neretti, 1 Nathan Intrator and 1,2 Leon N Cooper 1 Institute for Brain and Neural Systes, Brown University, Providence RI 02912.

More information

Chapter 6 1-D Continuous Groups

Chapter 6 1-D Continuous Groups Chapter 6 1-D Continuous Groups Continuous groups consist of group eleents labelled by one or ore continuous variables, say a 1, a 2,, a r, where each variable has a well- defined range. This chapter explores:

More information

ma x = -bv x + F rod.

ma x = -bv x + F rod. Notes on Dynaical Systes Dynaics is the study of change. The priary ingredients of a dynaical syste are its state and its rule of change (also soeties called the dynaic). Dynaical systes can be continuous

More information

Example A1: Preparation of a Calibration Standard

Example A1: Preparation of a Calibration Standard Suary Goal A calibration standard is prepared fro a high purity etal (cadiu) with a concentration of ca.1000 g l -1. Measureent procedure The surface of the high purity etal is cleaned to reove any etal-oxide

More information

A Low-Complexity Congestion Control and Scheduling Algorithm for Multihop Wireless Networks with Order-Optimal Per-Flow Delay

A Low-Complexity Congestion Control and Scheduling Algorithm for Multihop Wireless Networks with Order-Optimal Per-Flow Delay A Low-Coplexity Congestion Control and Scheduling Algorith for Multihop Wireless Networks with Order-Optial Per-Flow Delay Po-Kai Huang, Xiaojun Lin, and Chih-Chun Wang School of Electrical and Coputer

More information

A Better Algorithm For an Ancient Scheduling Problem. David R. Karger Steven J. Phillips Eric Torng. Department of Computer Science

A Better Algorithm For an Ancient Scheduling Problem. David R. Karger Steven J. Phillips Eric Torng. Department of Computer Science A Better Algorith For an Ancient Scheduling Proble David R. Karger Steven J. Phillips Eric Torng Departent of Coputer Science Stanford University Stanford, CA 9435-4 Abstract One of the oldest and siplest

More information

Efficient Filter Banks And Interpolators

Efficient Filter Banks And Interpolators Efficient Filter Banks And Interpolators A. G. DEMPSTER AND N. P. MURPHY Departent of Electronic Systes University of Westinster 115 New Cavendish St, London W1M 8JS United Kingdo Abstract: - Graphical

More information

Randomized Accuracy-Aware Program Transformations For Efficient Approximate Computations

Randomized Accuracy-Aware Program Transformations For Efficient Approximate Computations Randoized Accuracy-Aware Progra Transforations For Efficient Approxiate Coputations Zeyuan Allen Zhu Sasa Misailovic Jonathan A. Kelner Martin Rinard MIT CSAIL zeyuan@csail.it.edu isailo@it.edu kelner@it.edu

More information

A Smoothed Boosting Algorithm Using Probabilistic Output Codes

A Smoothed Boosting Algorithm Using Probabilistic Output Codes A Soothed Boosting Algorith Using Probabilistic Output Codes Rong Jin rongjin@cse.su.edu Dept. of Coputer Science and Engineering, Michigan State University, MI 48824, USA Jian Zhang jian.zhang@cs.cu.edu

More information

LONG-TERM PREDICTIVE VALUE INTERVAL WITH THE FUZZY TIME SERIES

LONG-TERM PREDICTIVE VALUE INTERVAL WITH THE FUZZY TIME SERIES Journal of Marine Science and Technology, Vol 19, No 5, pp 509-513 (2011) 509 LONG-TERM PREDICTIVE VALUE INTERVAL WITH THE FUZZY TIME SERIES Ming-Tao Chou* Key words: fuzzy tie series, fuzzy forecasting,

More information

Tracking using CONDENSATION: Conditional Density Propagation

Tracking using CONDENSATION: Conditional Density Propagation Tracking using CONDENSATION: Conditional Density Propagation Goal Model-based visual tracking in dense clutter at near video frae rates M. Isard and A. Blake, CONDENSATION Conditional density propagation

More information

Topic 5a Introduction to Curve Fitting & Linear Regression

Topic 5a Introduction to Curve Fitting & Linear Regression /7/08 Course Instructor Dr. Rayond C. Rup Oice: A 337 Phone: (95) 747 6958 E ail: rcrup@utep.edu opic 5a Introduction to Curve Fitting & Linear Regression EE 4386/530 Coputational ethods in EE Outline

More information

Ph 20.3 Numerical Solution of Ordinary Differential Equations

Ph 20.3 Numerical Solution of Ordinary Differential Equations Ph 20.3 Nuerical Solution of Ordinary Differential Equations Due: Week 5 -v20170314- This Assignent So far, your assignents have tried to failiarize you with the hardware and software in the Physics Coputing

More information

Chapter 2. Small-Signal Model Parameter Extraction Method

Chapter 2. Small-Signal Model Parameter Extraction Method Chapter Sall-Signal Model Paraeter Extraction Method In this chapter, we introduce a new paraeter extraction technique for sall-signal HBT odeling. Figure - shows the sall-signal equivalent circuit of

More information

A method to determine relative stroke detection efficiencies from multiplicity distributions

A method to determine relative stroke detection efficiencies from multiplicity distributions A ethod to deterine relative stroke detection eiciencies ro ultiplicity distributions Schulz W. and Cuins K. 2. Austrian Lightning Detection and Inoration Syste (ALDIS), Kahlenberger Str.2A, 90 Vienna,

More information

Combining Classifiers

Combining Classifiers Cobining Classifiers Generic ethods of generating and cobining ultiple classifiers Bagging Boosting References: Duda, Hart & Stork, pg 475-480. Hastie, Tibsharini, Friedan, pg 246-256 and Chapter 10. http://www.boosting.org/

More information

An Extension to the Tactical Planning Model for a Job Shop: Continuous-Time Control

An Extension to the Tactical Planning Model for a Job Shop: Continuous-Time Control An Extension to the Tactical Planning Model for a Job Shop: Continuous-Tie Control Chee Chong. Teo, Rohit Bhatnagar, and Stephen C. Graves Singapore-MIT Alliance, Nanyang Technological Univ., and Massachusetts

More information

Fast Structural Similarity Search of Noncoding RNAs Based on Matched Filtering of Stem Patterns

Fast Structural Similarity Search of Noncoding RNAs Based on Matched Filtering of Stem Patterns Fast Structural Siilarity Search of Noncoding RNs Based on Matched Filtering of Ste Patterns Byung-Jun Yoon Dept. of Electrical Engineering alifornia Institute of Technology Pasadena, 91125, S Eail: bjyoon@caltech.edu

More information

When Short Runs Beat Long Runs

When Short Runs Beat Long Runs When Short Runs Beat Long Runs Sean Luke George Mason University http://www.cs.gu.edu/ sean/ Abstract What will yield the best results: doing one run n generations long or doing runs n/ generations long

More information

Keywords: Estimator, Bias, Mean-squared error, normality, generalized Pareto distribution

Keywords: Estimator, Bias, Mean-squared error, normality, generalized Pareto distribution Testing approxiate norality of an estiator using the estiated MSE and bias with an application to the shape paraeter of the generalized Pareto distribution J. Martin van Zyl Abstract In this work the norality

More information

2nd Workshop on Joints Modelling Dartington April 2009 Identification of Nonlinear Bolted Lap Joint Parameters using Force State Mapping

2nd Workshop on Joints Modelling Dartington April 2009 Identification of Nonlinear Bolted Lap Joint Parameters using Force State Mapping Identification of Nonlinear Bolted Lap Joint Paraeters using Force State Mapping International Journal of Solids and Structures, 44 (007) 8087 808 Hassan Jalali, Haed Ahadian and John E Mottershead _ Γ

More information

Polygonal Designs: Existence and Construction

Polygonal Designs: Existence and Construction Polygonal Designs: Existence and Construction John Hegean Departent of Matheatics, Stanford University, Stanford, CA 9405 Jeff Langford Departent of Matheatics, Drake University, Des Moines, IA 5011 G

More information

Bootstrapping Dependent Data

Bootstrapping Dependent Data Bootstrapping Dependent Data One of the key issues confronting bootstrap resapling approxiations is how to deal with dependent data. Consider a sequence fx t g n t= of dependent rando variables. Clearly

More information

New Slack-Monotonic Schedulability Analysis of Real-Time Tasks on Multiprocessors

New Slack-Monotonic Schedulability Analysis of Real-Time Tasks on Multiprocessors New Slack-Monotonic Schedulability Analysis of Real-Tie Tasks on Multiprocessors Risat Mahud Pathan and Jan Jonsson Chalers University of Technology SE-41 96, Göteborg, Sweden {risat, janjo}@chalers.se

More information

Pattern Recognition and Machine Learning. Learning and Evaluation for Pattern Recognition

Pattern Recognition and Machine Learning. Learning and Evaluation for Pattern Recognition Pattern Recognition and Machine Learning Jaes L. Crowley ENSIMAG 3 - MMIS Fall Seester 2017 Lesson 1 4 October 2017 Outline Learning and Evaluation for Pattern Recognition Notation...2 1. The Pattern Recognition

More information

Convolutional Codes. Lecture Notes 8: Trellis Codes. Example: K=3,M=2, rate 1/2 code. Figure 95: Convolutional Encoder

Convolutional Codes. Lecture Notes 8: Trellis Codes. Example: K=3,M=2, rate 1/2 code. Figure 95: Convolutional Encoder Convolutional Codes Lecture Notes 8: Trellis Codes In this lecture we discuss construction of signals via a trellis. That is, signals are constructed by labeling the branches of an infinite trellis with

More information

Ufuk Demirci* and Feza Kerestecioglu**

Ufuk Demirci* and Feza Kerestecioglu** 1 INDIRECT ADAPTIVE CONTROL OF MISSILES Ufuk Deirci* and Feza Kerestecioglu** *Turkish Navy Guided Missile Test Station, Beykoz, Istanbul, TURKEY **Departent of Electrical and Electronics Engineering,

More information

On Constant Power Water-filling

On Constant Power Water-filling On Constant Power Water-filling Wei Yu and John M. Cioffi Electrical Engineering Departent Stanford University, Stanford, CA94305, U.S.A. eails: {weiyu,cioffi}@stanford.edu Abstract This paper derives

More information

The proofs of Theorem 1-3 are along the lines of Wied and Galeano (2013).

The proofs of Theorem 1-3 are along the lines of Wied and Galeano (2013). A Appendix: Proofs The proofs of Theore 1-3 are along the lines of Wied and Galeano (2013) Proof of Theore 1 Let D[d 1, d 2 ] be the space of càdlàg functions on the interval [d 1, d 2 ] equipped with

More information

Design-Silicon Timing Correlation A Data Mining Perspective Λ

Design-Silicon Timing Correlation A Data Mining Perspective Λ 221 Design-Silicon Tiing Correlation A Data Mining Perspective Λ i-c Wang Univ of CA - Santa Barbara licwang@eceucsbedu Pouria Bastani Univ of CA - Santa Barbara bastanip@eceucsbedu Magdy S Abadir Freescale

More information

Least Squares Fitting of Data

Least Squares Fitting of Data Least Squares Fitting of Data David Eberly, Geoetric Tools, Redond WA 98052 https://www.geoetrictools.co/ This work is licensed under the Creative Coons Attribution 4.0 International License. To view a

More information

Bayesian inference for stochastic differential mixed effects models - initial steps

Bayesian inference for stochastic differential mixed effects models - initial steps Bayesian inference for stochastic differential ixed effects odels - initial steps Gavin Whitaker 2nd May 2012 Supervisors: RJB and AG Outline Mixed Effects Stochastic Differential Equations (SDEs) Bayesian

More information