Advanced Techniques for Power, Energy, and Thermal Management for Clustered Manycores

Size: px
Start display at page:

Download "Advanced Techniques for Power, Energy, and Thermal Management for Clustered Manycores"

Transcription

1 Advanced Techniques for Power, Energy, and Thermal Management for Clustered Manycores

2 Santiago Pagani Jian-Jia Chen Muhammad Shafique Jörg Henkel Advanced Techniques for Power, Energy, and Thermal Management for Clustered Manycores 123

3 Santiago Pagani ARM Cambridge UK Jian-Jia Chen Technical University of Dortmund Dortmund, North Rhine-Westphalia Germany Muhammad Shafique Vienna University of Technology Vienna Austria Jörg Henkel Karlsruhe Institute of Technology Karlsruhe, Baden-Württemberg Germany ISBN ISBN (ebook) Library of Congress Control Number: Springer International Publishing AG, part of Springer Nature 2018 This work is subject to copyright. All rights are reserved by the Publisher, whether the whole or part of the material is concerned, specifically the rights of translation, reprinting, reuse of illustrations, recitation, broadcasting, reproduction on microfilms or in any other physical way, and transmission or information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed. The use of general descriptive names, registered names, trademarks, service marks, etc. in this publication does not imply, even in the absence of a specific statement, that such names are exempt from the relevant protective laws and regulations and therefore free for general use. The publisher, the authors and the editors are safe to assume that the advice and information in this book are believed to be true and accurate at the date of publication. Neither the publisher nor the authors or the editors give a warranty, express or implied, with respect to the material contained herein or for any errors or omissions that may have been made. The publisher remains neutral with regard to jurisdictional claims in published maps and institutional affiliations. Printed on acid-free paper This Springer imprint is published by the registered company Springer International Publishing AG part of Springer Nature The registered company address is: Gewerbestrasse 11, 6330 Cham, Switzerland

4 Preface Efficient and effective system-level power, energy, and thermal management are very important issues in modern computing systems, e.g., to reduce the packaging cost, to prolong the battery lifetime of embedded systems, or to avoid the chip from possible overheating. These are some of the main motivations why computing systems have shifted from single-core to multicore/manycore platforms, mainly to balance the power consumption and computation performance. Furthermore, clustered architectures with multiple voltage islands, where the voltage on a cluster can change independently and all cores in a cluster share the same supply voltage at any given time, are an expected compromise between global and per-core Dynamic Voltage and Frequency Scaling (DVFS) for modern manycore systems. In this book, we focus on two of the most relevant problems for such architectures, particularly, optimizing performance under power/thermal constraints and minimizing energy under performance constraints. For performance optimization, we first present a novel thermal-aware power budgeting concept, called Thermal Safe Power (TSP), which is an abstraction that provides safe power and power density constraints as a function of the number of active cores. TSP conceptually changes the typical design that uses a single and constant value as power budget, e.g., the Thermal Design Power (TDP), and can also serve as a fundamental tool for guiding task partitioning and core mapping decisions. Second, we show that runtime decisions normally used to optimize resource usages (e.g., task migration, power gating, DVFS, etc.) can result in transient temperatures much higher than the normally considered steady-state scenarios. In order to be thermally safe, it is important to evaluate the transient peaks before making resource management decisions. To this end, we present a lightweight method for computing these transient peaks, called MatEx, based on analytically solving the system of thermal differential equations by using matrix exponentials and linear algebra, instead of using regular numerical methods. Third, we present an effective and lightweight runtime boosting technique based on transient temperature estimation, called seboost. Traditional boosting techniques select the boosting levels (for boosted cores) and the throttle-down levels (for non-boosted cores) arbitrarily or through step-wise control approaches, and might v

5 vi Preface result in unnecessary performance losses for the non-boosted cores or may fail to satisfy the required runtime performance surges. Contrarily, seboost relies on MatEx to select the boosting levels, and hence it guarantees meeting the required runtime performance surges, while maximizing the boosting time with minimum performance losses for the non-boosted cores. In regards to energy minimization, we first focus on a single cluster, and we propose to use the Double Largest Task First (DLTF) strategy for partitioning tasks to cores based on load balancing and idle energy reduction, combined with either the Single Frequency Approximation (SFA) scheme or the Single Voltage Approximation (SVA) scheme for deciding the DVFS levels for execution. Furthermore, we provide thorough theoretical analysis of both solutions, in terms of energy efficiency and peak power reduction, against the optimal task partitioning and optimal DVFS schedule, particularly for the state-of-the-art designs, that have a limited number of cores inside each cluster. In SFA, all the cores in a cluster run at a single voltage and frequency, such that all tasks meet their performance constraints. In SVA, all the cores in a cluster also run at the same single voltage as in SFA; however, the frequency of each core is individually chosen, such that the tasks in each core can meet their performance constraints, but without running at unnecessarily high frequencies. Finally, we extend our analysis for systems with multiple clusters, and present two task-to-core mapping solutions when using SFA on individual clusters, particularly, a dynamic programming algorithm that derives optimal solutions for homogeneous manycores, and a lightweight and efficient heuristic for heterogeneous manycores. Related Publications This book is based on the results published in several conferences, journals, workshops, and book chapters, particularly: [61] Pagani, S., Chen, J.J.: Energy efficiency analysis for the single frequency approximation (SFA) scheme. In: Proceedings of the 19th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), pp (2013). doi: /rtcsa [Best Paper Award]. [62] Pagani, S., Chen, J.J.: Energy efficient task partitioning based on the single frequency approximation scheme. In: Proceedings of the 34th IEEE Real-Time Systems Symposium (RTSS), pp (2013). doi: /rtss [70] Pagani, S., Khdr, H., Munawar, W., Chen, J.J., Shafique, M., Li, M., Henkel, J.: TSP: Thermal Safe Power - Efficient power budgeting for manycore systems in dark silicon. In: Proceedings of the 9th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES +ISSS), pp. 10:1 10:10 (2014). doi: / [Best Paper Award].

6 Preface vii [63] Pagani, S., Chen, J.J.: Energy efficiency analysis for the single frequency approximation (SFA) scheme. ACM Transactions on Embedded Computing Systems (TECS) 13(5s), 158:1 158:25 (2014). doi: / [66] Pagani, S., Chen, J.J., Shafique, M., Henkel, J.: MatEx: Efficient transient and peak temperature computation for compact thermal models. In: Proceedings of the 18th Design, Automation and Test in Europe (DATE), pp (2015). doi: /date [65] Pagani, S., Chen, J.J., Li, M.: Energy efficiency on multi-core architectures with multiple voltage islands. IEEE Transactions on Parallel and Distributed Systems (TPDS) 26(6), (2015). doi: /tpds [64] Pagani, S., Chen, J.J., Henkel, J.: Energy and peak power efficiency analysis for the single voltage approximation (SVA) scheme. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 34(9), (2015). doi: /tcad [72] Pagani, S., Shafique, M., Khdr, H., Chen, J.J., Henkel, J.: seboost: Selective boosting for heterogeneous manycores. In: Proceedings of the 10th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), pp (2015). doi: /codesisss [67] Pagani, S., Chen, J.J., Shafique, M., Henkel, J.: Thermal-aware power budgeting for dark silicon chips. In: Proceedings of the 2nd Workshop on Low-Power Dependable Computing (LPDC) at the International Green and Sustainable Computing Conference (IGSC) (2015). [69] Pagani, S., Khdr, H., Chen, J.J., Shafique, M., Li, M., Henkel, J.: Thermal Safe Power (TSP): Efficient power budgeting for heterogeneous manycore systems in dark silicon. IEEE Transactions on Computers (TC) 66(1), (2017). doi: /tc [Feature Paper of the Month]. [71] Pagani, S., Pathania, A., Shafique, M., Chen, J.J., Henkel, J.: Energy efficiency for clustered heterogeneous multicores. IEEE Transactions on Parallel and Distributed Systems (TPDS) 28(5), (2017). doi: /tpds [68] Pagani, S., Khdr, H., Chen, J.J., Shafique, M., Li, M., Henkel, J.: Thermal Safe Power: Efficient thermal-aware power budgeting for manycore systems in dark silicon. In: A.M. Rahmani, P. Liljeberg, A. Hemani, A. Jantsch, H. Tenhunen (eds.) The Dark Side of Silicon. Springer (2017). [60] Pagani, S.: Power, energy, and thermal management for clustered manycores. Ph.D. thesis, Chair for Embedded Systems (CES), Department of Computer Science, Karlsruhe Institute of Technology (KIT), Germany (2016). [Received Summa cum Laude and the ACM SIGBED Paul Caspi Memorial Dissertation Award].

7 viii Preface Acknowledgements This work was partly supported by the German Research Foundation (DFG) as part of the Transregional Collaborative Research Centre Invasive Computing [SFB/TR 89], by Baden Württemberg MWK Juniorprofessoren-Programms, and by a grant from the Research Grants Council of the Hong Kong Special Administrative Region, China [Project CityU ]. Cambridge, UK Dortmund, Germany Vienna, Austria Karlsruhe, Germany Santiago Pagani Jian-Jia Chen Muhammad Shafique Jörg Henkel

8 Contents 1 Introduction Optimization Goals and Constraints Computational Performance Power and Energy Consumption Temperature Optimization Knobs Core Heterogeneity Task-to-Core Assignment/Mapping Dynamic Power Management (DPM) Dynamic Voltage and Frequency Scaling (DVFS) Performance Optimization Under Power or Thermal Constraints Energy Minimization Under Performance Constraints Summary of the State-of-the-Art, Problems, and Challenges Book Contributions Performance Optimization Under Power and Thermal Constraints Energy Minimization Under Real-Time/Performance Constraints Book Outline Orientation Within Funding Projects Invasive Computing Power Management for Multicore Architecture with Voltage Islands References ix

9 x Contents 2 Background and Related Work Performance Optimization Under Power or Thermal Constraints Techniques Using Per-chip Power Constraints Techniques Using Thermal Constraints Temperature Estimation Energy Minimization Under Real-Time/Performance Constraints Per-core DVFS Techniques Global DVFS Techniques Clustered Manycores / Multiple Voltage Islands References System Model Application Model Hardware Model Power Model Energy Model Thermal Model References Experimental Framework Setup Detailed Mode Setup High-Level Mode Setup Architectures Homogeneous Architectures Heterogeneous Architectures Benchmarks References Thermal Safe Power (TSP) Overview Motivational Example Problem Definition Thermal Safe Power for Homogeneous Systems Given Core Mapping on Homogeneous Systems Worst-Case Mappings on Homogeneous Systems Thermal Safe Power for Heterogeneous Systems Given Core Mapping on Heterogeneous Systems Worst-Case Mappings on Heterogeneous Systems Transient-State Considerations Adjusting the Temperature for Computing TSP Nominal DVFS Operation for a Given Mapping... 81

10 Contents xi 5.5 Experimental Evaluations for Homogeneous Systems Power Constraints Execution Time of Online TSP Computation Dark Silicon Estimations Performance Simulations Experimental Evaluations for Heterogeneous Systems Power Constraints Performance Simulations Summary References Transient and Peak Temperature Computation Based on Matrix Exponentials (MatEx) Overview Motivational Example Problem Definition Computing All Transient Temperatures Computing Peaks in Transient Temperatures Experimental Evaluations Setup Results Summary References Selective Boosting for Multicore Systems (seboost) Overview Motivational Example Problem Definition Given Required Boosting Levels Unknown Required Boosting Levels Unknown Maximum Expected Boosting Time Concurrency and Closed-Loop Control-Based Boosting Experimental Evaluations Results Summary References Energy and Peak Power Efficiency Analysis for Simple Approximation Schemes Overview Problem Definition Largest Task First (LTF) Scheme Double Largest Task First (DLTF) Scheme

11 xii Contents Single Frequency Approximation (SFA) Scheme Single Voltage Approximation (SVA) Scheme Lower Bounds Lower Bound for the Energy Consumption Lower Bound for the Peak Power Consumption Approximation Factor Analysis: DLTF-SFA Energy Minimization Analysis for DLTF-SFA Peak Power Reduction Analysis for DLTF-SFA Approximation Factor Analysis: DLTF-SVA Energy Minimization Analysis for DLTF-SVA Peak Power Reduction Analysis for DLTF-SVA Comparing DLTF-SFA and DLTF-SVA Discrete Voltage and Frequency Pairs Experimental Evaluations Setup Results Summary References Energy-Efficient Task-to-Core Assignment for Homogeneous Clustered Manycores Overview Problem Definition Simple Heuristic Algorithms Description of Simple Heuristic Algorithms Approximation Factor for Simple Heuristics Numerical Examples for the Approximation Factor of Simple Heuristics Assignment Properties Given Highest Cycle Utilization Task Sets Assigned to Every Cluster All Possible Highest Cycle Utilization Task Sets Dynamic Programming Solution Description of the DYVIA Algorithm Complexity Analysis for the DYVIA Algorithm Optimal Task Set Assignment Under SFA Versus Optimal DVFS Experimental Evaluations on SCC Setup Measurement Errors Results

12 Contents xiii 9.6 Additional Experimental Evaluations Setup Results Summary References Energy-Efficient Task-to-Core Assignment for Heterogeneous Clustered Manycores Overview Motivational Example Problem Definition Assignment of Given Task Sets Example Special Case: Tasks Consume Equal Average Power on a Given Core and Voltage/Frequency Special Task Partitioning for Fixed Frequencies Potential DVFS Configurations for all Clusters in the Special Case General Case: Different Tasks Consume Different Average Power on the Same Core General Task Partitioning for Fixed Frequencies Potential DVFS Configurations for all Clusters in the General Case Experimental Evaluations Setup Results Summary References Conclusions Book Summary Current Impact of Our Contributions Future Works References Index

13 About the Authors Santiago Pagani is currently a Staff Firmware Engineer and Team Lead at ARM Ltd. (Cambridge, UK), where he runs an Agile firmware development team working on key components for the next-generation Mali GPU products. He received his Diploma in Electronics Engineering from the National Technological University (UTN), Argentina, in He received his Ph.D. in Computer Science from the Karlsruhe Institute of Technology (KIT) with Summa cum Laude in From 2003 until 2012, he worked as a hardware and software developer in the industry sector for several companies in Argentina, including 2 years as a technical group leader. From 2012 until 2017, he worked as a research scientist (doctoral researcher and later postdoc) as part of the research staff at KIT. He received two Best Paper Awards (IEEE RTCSA in 2013 and IEEE/ACM CODES+ISSS in 2014), one Feature Paper of the Month (IEEE Transactions on Computers in 2017), and three HiPEAC Paper Awards. He received the 2017 ACM SIGBED Paul Caspi Memorial Dissertation Award in recognition of an outstanding Ph.D. dissertation. His interests include embedded systems, real-time systems, energy-efficient scheduling, temperature-aware scheduling, and power-aware designs. xv

14 xvi About the Authors Jian-Jia Chen is a Professor of Computer Science at TU Dortmund, Germany. Prior to taking up his current position, he was with the Department of Informatics at Karlsruhe Institute of Technology (KIT) in Germany as a Junior Professor for Institute for Process Control and Robotics (IPR). He received his B.S. degree from the Department of Chemistry at National Taiwan University He obtained his Ph.D degree in June 2006 with Ph.D Dissertation Energy-Efficient Scheduling for Real-Time Tasks in Uniprocessor and Homogeneous Multiprocessor Systems. Between January 2008 and April 2010, he was a Postdoc Researcher at Computer Engineering and Networks Laboratory (TIK) in Swiss Federal Institute of Technology (ETH) Zurich, Switzerland. His research interests include real-time systems, embedded systems, energy-efficient and power-aware designs, reliability system designs, and design automation. He has published more than 175 research papers in prestigious journals and conferences in the areas of real-time embedded systems, lower power system designs, and distributed computing. He has served as TPC member in several international conferences in real-time and embedded systems such as RTSS, RTAS, RTCSA, DATE, ICCAD, etc., and associate editors and guest editors in international journals. Muhammad Shafique (M 11, SM 16) is a Full Professor at the Institute of Computer Engineering, Department of Informatics, Vienna University of Technology (TU Wien), Austria. He is directing the Group on Computer Architecture and Robust, Energy-Efficient Technologies (CARE-Tech). He was a Senior Research Group Leader at Karlsruhe Institute of Technology (KIT), Germany for more than 5 years. He received his Ph.D. in Computer Science from KIT in January Before, he was with Streaming Networks Pvt. Ltd. where he was involved in research and development of advanced video coding systems for several years. His research interests are in computer architecture, power- and energy-efficient systems, robust computing covering various aspects of dependability and fault-tolerance, hardware security, emerging computing trends like neuromorphic and approximate

15 About the Authors xvii computing, neurosciences, emerging technologies and nanosystems, self-learning and intelligent/cognitive systems, FPGAs, MPSoCs, and embedded systems. His research has a special focus on cross-layer analysis, modeling, design, and optimization of computing and memory systems covering various layers of the hardware and software stacks, as well as their integration in application use cases from Internet-of-Things (IoT), Cyber-Physical Systems (CPS), and ICT for Development (ICT4D) domains. He received the prestigious 2015 ACM/SIGDA Outstanding New Faculty Award, six gold medals in educational career, and several best paper awards and nominations at prestigious conferences like DATE, DAC, ICCAD, and CODES+ISSS, Best Master Thesis Award, and Best Lecturer Award. He has given several Invited Talks, Tutorials, and Keynotes. He has also organized many special sessions at premier venues (like DAC, ICCAD, DATE, and ESWeek) and served as the Guest Editor for IEEE Design and Test Magazine (D&T) and IEEE Transactions on Sustainable Computing (T-SUSC). He has served as the TPC Co-Chair of ESTIMedia and LPDC, General Chair of ESTIMedia, and Track Chair at DATE and FDL. He has served on the program committees of several IEEE/ACM conferences like ICCAD, ISCA, DATE, CASES, FPL, and ASPDAC. He is a Senior Member of the IEEE and IEEE Signal Processing Society (SPS), and a member of ACM, SIGARCH, SIGDA, SIGBED, and HiPEAC. He holds one US patent and over 180 papers in premier journals and conferences. Professor Jörg Henkel is with Karlsruhe Institute of Technology (KIT), Germany, where he is directing the Chair for Embedded Systems CES. Before, he was a Senior Research Staff Member at NEC Laboratories in Princeton, NJ. He received his Ph.D. from Braunschweig University with Summa cum Laude. He has/is organizing various embedded systems and low-power ACM/IEEE conferences/symposia as General Chair and Program Chair and was a Guest Editor on these topics in various journals like the IEEE Computer Magazine. He was Program Chair of CODES 01, RSP 02, ISLPED 06, SIPS 08, CASES 09, Estimedia 11, VLSI Design 12, ICCAD 12, PATMOS 13, NOCS 14 and served as

16 xviii About the Authors General Chair for CODES 02, ISLPED 09, Estimedia 12, ICCAD 13 and ESWeek 16. He is/has been a steering committee member of major conferences in the embedded systems field like at ICCAD, ESWeek, ISLPED, Codes+ISSS, CASES, and is/has been an editorial board member of various journals like the IEEE TVLSI, IEEE TCAD, IEEE TMSCS, ACM TCPS, JOLPE, etc. In recent years, he has given more than ten keynotes at various international conferences primarily with focus on embedded systems dependability. He has given full/half-day tutorials at leading conferences like DAC, ICCAD, DATE, etc. He received the 2008 DATE Best Paper Award, the 2009 IEEE/ACM William J. McCalla ICCAD Best Paper Award, the Codes+ISSS 2015, 2014, and 2011 Best Paper Awards, and the MaXentric Technologies AHS 2011 Best Paper Award as well as the DATE 2013 Best IP Award and the DAC 2014 Designer Track Best Poster Award. He is the Chairman of the IEEE Computer Society, Germany Section, and was the Editor-in-Chief of the ACM Transactions on Embedded Computing Systems (ACM TECS) for two consecutive terms. He is an initiator and the coordinator of the German Research Foundation s (DFG) program on Dependable Embedded Systems (SPP 1500). He is the site coordinator (Karlsruhe site) of the Three-University Collaborative Research Center on Invasive Computing (DFG TR89). He is the Editorin-Chief of the IEEE Design & Test Magazine. He holds ten US patents and is a Fellow of the IEEE.

17 Acronyms ADI Alternating Direction Implicit, 26 ARMA Autoregressive Moving Average, 28 blackscholes Application from the PARSEC benchmark suite, 3, 4, 28, 40, 83, 89, , 216, 233 bodytrack Application from the PARSEC benchmark suite, 3, 4, 28, 40, 83, 89, 113, 171, 216, 233 BUH Balanced Utilization Heuristic, 183, 184, , 200 CBGA Ceramic Ball Grid Array,28,249 CCH Consecutive Cores Heuristic, , 202, 208,210 DLTF Double Largest Task First,131,137, 139, 178, 242 DLTF-SFA Double Largest Task First combined with Single Frequency DLTF-SVA Approximation, 133, 142, 146, Double Largest Task First combined with Single Voltage Approximation, 132, 133, 142, 143, 146, 154, , DPM Dynamic Power Management, 11, 12, 16 19, 59, 77, 88, 91, 93, 102, , , 154, 160, 162, 166, , 209, 210, 213, 215, 241 DTM Dynamic Thermal Management, 6, 52, 53, 59 64, 68 DVFS Dynamic Voltage and Frequency Scaling, v, vi, xv, xvi, xvii, 8, 159, , , 179, , 196, 198, 202, 203, 210, 211, 213, 214, , 238, DYVIA Dynamic Voltage Island Assignment, 181, 183, 184, , , , 242, 244 EDF Earliest Deadline First, 36, 37, 137, 146, 178, 244 EOH Extremal Optimization Heuristic, 28, 30, 182, 182, 186, 188, 199, 203, 207, 209, 244 EWFD Equally-Worst-Fit-Decreasing, 29, 31, 228, 229, 230, 232, 233, 235 EXU Execution Unit, 38, 39 FEA Finite Element Analysis, 28, 38, 39 ferret Application from the PARSEC benchmark suite, 28 xix

18 xx Acronyms FFI-LTF Fixed Frequency Island-Aware Largest Task First, FFT Fast Fourier Transform, 203 FIT-LTF Fixed Frequency Island- and Task-Aware Largest Task First, 225, 226 gem5 gem5 multicore simulator, 2, 5, 22, 25, 233, 234 GIPS Giga Instructions per Second, 26, 114, 118, GIT Generalized Integral Transforms, 28 HI-LTF Heterogeneous Island-Aware Largest Task First, 229, 231 HIT-LTF Heterogeneous Island- and Task-Aware Largest Task First, 232 HotSpot HotSpot modeling and temperature computation tool, 16, 27, 28, 48, 51, 60 IFU Instruction Fetch Unit, 38, 39 ILP Instruction-Level Parallelism, 2, 24, 25 IPC Instructions per Cycle, 2 IPS Instructions per Second, 2, 86 LPF Low-Power First, 232, 235, 238 LPT Longest Processing Time,132, 137, 138 LSU Load and Store Unit, 38, 39 LTF Largest Task First, 14, 17, 29, 30, 131, 133, 137, 144, 145 LTR Left to Right, 154, 157, 162, 163 MatEx (From Matrix Exponentials) Transient and Peak Temperature Computation Tool for Compact Thermal Models, xxvi, 3, 16, 18, 74, 76, 89, 90, 92, 96, , , 112, 114, 118, 117, 122, 123 McPAT McPAT power consumption simulator, 3, 20, 25, 32, 41, NoC Network on Chip, 2, 52, 243 Odroid-XU3 Odroid-XU3 mobile platform, with an Exynos 5 Octa (5422) chip based on ARM s big.little architecture, 52, 56, 89, 124, 233 OOO Out-of-Order, 38, 55, 56, 89 PARSEC PARSEC benchmark suite,2, 4, 20, 25, 41, 172, 216, 218, 234 QoS Quality of Service, 31 SCC Single Chip Cloud computer, 9, 55, 182, 184, seboost Selective Boosting for Manycore Systems, 16, 18, 111, 112, 117, 118, 120, 124 SFA Single Frequency Approximation, 14, 16, 30, 132 SVA Single Voltage Approximation, vi, 17, 18, 132, 142 swaptions Application from the PARSEC benchmark suite, 3, 4, 28, 40, 83, 89, 113, 171, 216, 233, 234 TDP Thermal Design Power, v, 5, 13, 14, 24, 31, 74, 111, 241 TLP Thread-Level Parallelism, 2, 24 TSP Thermal Safe Power, v, vii, 14, 15, 111, 241, 243 VLSI Very Large-Scale Integration, 9, 27 x264 Application from the PARSEC benchmark suite, 41, 83, 89, 113

19 Symbols A a i;j DVFS ¼ SFA AFASG ¼ ANY energy overheads AFDLTF-SFA AF energy DLTFSFA peak power AFDLTFSFA Matrix A ¼[a i;j NN that contains the thermal capacitance values of an RC thermal network (generally a diagonal matrix, since thermal capacitances are modeled to ground), 47, 48, 52, 96, 104 Element in row i and column j inside the thermal capacitance matrix A, such that 1 i N and 1 j N, 47 Approximation factor for any task partition mapping heuristic M that uses K clusters with non-empty task sets, when using SFA to decide the DVFS levels on individual clusters, against the optimal assignment that also uses SFA in individual clusters, Approximation factor (i.e., the worst-case behavior) of DLTF-SFA for energy minimization in relation to the optimal task partitioning and optimal DVFS solution for energy minimization (i.e., the task partitioning and DVFS solution that result in the minimum energy consumption) when we consider negligible overheads for sleeping, , 159, 169 Approximation factor (i.e., the worst-case behavior) of DLTF-SFA for energy minimization in relation to the optimal task partitioning and optimal DVFS solution for energy minimization (i.e., the task partitioning and DVFS solution that result in the minimum energy consumption), 133, 134, , 174 Approximation factor (i.e., the worst-case behavior) of DLTF-SFA for peak power reduction in relation to the optimal task partitioning and optimal DVFS solution for peak power reduction (i.e., the task partitioning and DVFS solution that result in the minimum peak power consumption), 133, 134, xxi

20 xxii Symbols AF energy DLTFSVA peak power AFDLTFSVA a area core area type B 1 Approximation factor (i.e., the worst-case behavior) of DLTF-SVA for energy minimization in relation to the optimal task partitioning and optimal DVFS solution for energy minimization (i.e., the task partitioning and DVFS solution that result in the minimum energy consumption), 128, 129, 159, 170 Approximation factor (i.e., the worst-case behavior) of DLTF-SVA for peak power reduction in relation to the optimal task partitioning and optimal DVFS solution for peak power reduction (i.e., the task partitioning and DVFS solution that result in the minimum peak power consumption), 41, 134 For the approximated power consumption on a CMOS core, a constant including the effective switching capacitance, the average activity factor of the core, and a scaling factor for the linear relationship between the voltage of the cluster and the highest frequency in the cluster (i.e., V dd / f cluster ), 38, 47, 48 m Area of core m (among all M in the chip), 38, q Area of a core of type q, 48 Matrix B 1 ¼ ~b i;j is the inverse of matrix B, 47 NN B Matrix B ¼ b i;j that contains the thermal conductance NN values between vertical and lateral neighboring thermal nodes of an RC thermal network, 47, 48 ~b i;j Element in row i and column j inside matrix B 1, such that 1 i N and 1 j N, 47 b i;j Element in row i and column j inside the thermal conductance matrix B, such that 1 i N and 1 j N, 47, 48 b For the approximated power consumption on a CMOS core, b f cluster 0 represents the leakage power consumption on the core, 41 C Matrix of an RC thermal network, such that C ¼A 1 B,47 D Hyper-period, i.e., the least common multiple among all periods of all R tasks, 36, 43, 143, , 184, d n Period and implicit deadline of task s n, 36, 53, 143, , d d max 152, 154, 184, , 192 Variable of auxiliary function UðdÞ, used to choose a value of f dyn such that E# becomes a continuous function, in order to derive an approximation factor without unnecessary pessimism, , 164, 165, , 264 Value of d that maximizes auxiliary function UðdÞ, used to choose a value of f dyn such that E# becomes a continuous function, in order to derive an approximation factor without unnecessary pessimism,

21 Symbols DYVIA ði; jþ DYVIA back trackingði; jþ DYVIA #combinations E core ð f Þ E core ð f cluster ; f Þ e Ct E DVFS¼optimal ASG¼DYVIA E DVFS¼optimal ASG¼optimal DVFS E DVFS¼SFA ASG¼DYVIA xxiii Dynamic programming function, where i is the index of the first task set to be considered in this sub-problem, and j is the index of the last task set to be considered in this sub-problem, such that function DYVIA ði; jþ returns the minimum energy consumption for the assignment of task sets S i ; S i þ 1 ;...; S j1 ; S j onto cores, using v ¼ ji þ 1 K clusters (from Corollary 9.1, j i þ 1 will always be an integer multiple of K), , 265 Backtracking table in which entry DYVIAback trackingði; jþ contains the task sets indexes f 1 ; 2 ;...; K g that resulted in the minimum energy consumption for sub-problem DYVIA ði; jþ, 196, 197, 194 Total number of combinations that algorithm DYVIA needs to evaluate when building its dynamic programming table, 200 Approximated energy consumption on a CMOS core for the case in which the core runs at the same frequency which determines the voltage of the cluster, where f is the execution frequency of the core, 42, 135, 145 Approximated energy consumption on a CMOS core for the general case of having voltage scaling at a cluster level and frequency scaling at a core level, where f cluster is the highest execution frequency among all cores in the cluster (thus setting the voltage of the cluster), and f is the execution frequency of the core, 44, 135, 145 Matrix exponential e Ct ¼½e Ct i;j NN, 96, 97 Total energy consumption when using an optimal DVFS algorithm to decide the DVFS levels on individual clusters, and when using DYVIA for assigning task sets to clusters (i.e., the optimal task set assignment solution under SFA), 201, 202 Total energy consumption when using an optimal DVFS algorithm to decide the DVFS levels on individual clusters, and when assigning task sets to clusters by using an algorithm that is optimal when using an optimal DVFS algorithm to decide the DVFS levels on individual clusters, 200, 201 Total energy consumption when using SFA to decide the DVFS levels on individual clusters, and when using DYVIA for assigning task sets to clusters (i.e., the optimal task set assignment solution under SFA), 200, 201

22 xxiv E DVFS¼SFA ASG¼optimal DVFS E j DVFS¼SFA ASG¼CCH E j DVFS¼percore ASG¼ANY E j DVFS¼SFA ASG¼ANY E j DVFS¼SFA ASG¼SFA e q;n EðLÞ E # E OPT ~E s n q F type q;j Symbols Total energy consumption when using SFA to decide the DVFS levels on individual clusters, and when assigning task sets to clusters by using an algorithm that is optimal when using an optimal DVFS algorithm to decide the DVFS levels on individual clusters, 187, 200, 201 Energy consumption of cluster I j when using the CCH task partition mapping algorithm to map task sets to cores and clusters, and when using SFA to decide the DVFS levels on individual clusters, 189 Energy consumption of cluster I j when using any task partition mapping algorithm to map task sets to cores and clusters, and when having per-core DVFS, which will result in the lower bound for the energy consumption since having per-core DVFS is the optimal solution and the task set assignment plays no role for such a case, 189, 190 Energy consumption of cluster I j when using any task partition mapping algorithm to map task sets to cores and clusters, and when using SFA to decide the DVFS levels on individual clusters, 189 Energy consumption of cluster I j when using SFA to decide the DVFS levels on individual clusters, and when using the optimal task set assignment solution under SFA, 189 Worst-case execution cycles of task s n when being executed on a core of type, 35, 43, 136, 140, 141, 143, , 229, 234 Energy consumption of the highest DVFS level cluster for each combination, which is similar to Eq. (9.1), but for set L instead of set L j, Lower bound for the optimal energy consumption for the optimal task partition and any feasible DVFS schedule during a hyper-period D, 135, 136, 149, , 160, 168, 170, 176, 179, 256, 266, 273 Optimal energy consumption for the optimal task partition and optimal DVFS schedule during a hyper-period D, 135, 136 Energy consumed during one hyper-period for executing task s n on a core of type q at frequency index j (such that 0 j ^F q type ) in case that all tasks consume equivalent power when executing at the same frequency on a core of type q, i.e., P s1 q F type q;j ¼ P s2 q F type q;j ¼¼P sr q F type q;j ¼ P q F type q;j, 220

23 Symbols ~E s g q E s n q E DLTF SFA E DLTF SVA g f cluster f crit s n q f critq f crit f max dyn F type q;j F type q;j xxv Energy consumed during one hyper-period for executing task set S g on a core of type q at frequency index j (such that 0 j ^F q type ) in case that all tasks consume equivalent power when executing at the same frequency on a core of type q i.e., P s1 q F type q;j ¼ P s2 q F type q;j ¼¼P sr q F type q;j ¼ P q F type q;j, 220 Energy consumed during one hyper-period for executing task s n on a core of type q at frequency index j (such that 0 j ^F q type ), 43, 217, 218 Total energy consumption during a hyper-period D for partitioning tasks with DLTF and selecting the DVFS schedule with SFA,136, 145, 156 Total energy consumption during a hyper-period D for partitioning tasks with DLTF and selecting the DVFS schedule with SVA, 136, 146, 147, 155 Amount of power consumed by a cluster for being in the active state (since there is no voltage regulator with 100% efficiency) when at least one core inside the cluster has to execute some workload, , , 207, 205, 210 For the approximated power consumption on a CMOS core, f cluster is the highest execution frequency among all cores in the cluster, and therefore determines the minimum voltage of the cluster for stable execution, 4, 42, 45, 46, 136, 137, 146 Critical frequency of task s n running on a core of type q that minimizes the energy consumption for execution when the overhead for entering/leaving a low-power mode can be considered negligible, 43, 218 Critical frequency on a core of type q in case that all tasks consume equivalent power when executing at the same frequency on a core of type q, i.e., P s1 q F type q;j ¼ P s2 q F type q;j ¼¼P sr q F type q;j ¼ P q F type q;j such that s f 1 crit q ¼ f crit s 2 q ¼¼f crit s R q ¼ f crit q, 220, 268, 272 Critical frequency for the energy model in Eq. (3.6) (focusing on homogeneous systems and assuming that all tasks have similar average activity factors) that minimizes the energy consumption for execution when the overhead for entering/leaving a low-power mode can be considered negligible, 45, , 140, 141, 143, , 155, 161, , 169, 170, 171, 172, 180 Maximum value of the auxiliary frequency used to obtain an analytical expression for the lower bound of the energy consumption which can be used for general cases, 154,

24 xxvi Symbols f dyn F core i;^f i core ^F i core F max F min F type q;^f q type ^F q type Auxiliary frequency used to obtain an analytical expression for the lower bound of the energy consumption which can be used for general cases, Maximum frequency for core i,38, 118 Number of available frequencies for core i, 38, 118 Maximum frequency when considering homogeneous systems,135, 146, 149, 164, 171, 174, 183 Minimum frequency when considering homogeneous systems, 1345, 174, 183 Maximum frequency for a core of type q, 31, 218 Number of available frequencies for cores of type q, 31, 38, 218 G Column vector G¼[g i ] N1 that contains the values of the thermal conductances between each thermal node and the ambient temperature of an RC thermal network, 47, 48 66, 92 C 1 Matrix C 1 ¼½~C i;j NN represents the inverse of matrix C, 96, 97 C Matrix C ¼½C i;j NN represents a matrix containing the eigenvectors of matrix C for a given thermal model, 96, 97 c For the approximated power consumption on a CMOS core, c [ 1 is a constant related to the hardware (in CMOS processors, c is generally modeled equal to 3), 96,97, H q H I max K K h i Auxiliary matrix H q ¼ h q q;i;j QZM type q, used to compute the maximum amount of heat that any m q cores of type q can contribute to the temperature on node i, for all core types q ¼ 1; 2;...; Q, Auxiliary matrix H ¼[h i;j ] ZM, used to compute the maximum amount of heat that any m cores can contribute to the steady-state temperature on thermal node i, Maximum chip current constraint for the entire chip that cannot be exceeded (e.g., from the capacity of the power supply or the wire thickness), 40, , 122 Set K ¼fk 1 ; k 2 ;...; k M g that contains all the indexes of the thermal nodes that correspond to cores (among all cores, ignoring the types of the cores), 49, 64, 70 Total number of cores inside every cluster/island, for a system in which all the clusters have equal number of cores per cluster/island, , , 230 K q n Set K q ¼ k q 1 ; kq 2 ;...; kq Mq type o for all core types q ¼ 1; 2;...; Q, that contains the indexes of the thermal nodes that correspond to cores of type q, 49,76

25 Symbols xxvii j For the approximated power consumption on a CMOS core, j 0 represents the independent power consumption attributed to maintaining the core in execution mode (i.e., the voltage- and frequency-independent part of the power consumption), 41, 42, 44, 45, 135, 143, 210 L Set L ¼ f 1 ; 2 ;...; Z g that includes all the indexes of the thermal nodes that correspond to blocks in the floorplan (as opposed to thermal nodes that represent the heat sink, internal nodes of the heat spreader, the thermal interface material, etc.), 49, 62, 63, 65, 66, 70, 74, 76, 115, 117, 121, 195 k Lagrange multiplier inside the Lagrangian used when applying the Kuhn Tucker conditions, L Lagrangian used when applying the Kuhn Tucker conditions, 147 L Set containing the indexes of the task sets assigned to a general cluster (as opposed to set L j,defined for the particular cluster I j ), such that 1 \ 2 \ \ K, with 0 auxiliary and less than 1, 195, 262, 264 L j Set containing the indexes of the task sets assigned to cluster I j such that j;1 \ j;2 \ \ j;k with j ¼ 1; 2;...; V, it holds that j;i 2½1; M, and j;i is unique for all j, i, 183, 185, 191, 193, 194, 264, 266 K ði; jþ Set that contains all possible L sets that satisfy Theorem 9.2, i.e., K ði; jþ stores all the potentially optimal combinations, such that 0 ¼ i 1; K ¼ j and h ¼ h1 þ 1 þ n K for 0\h\K with h \j and n 2 N 0, 195, K Diagonal matrix K ¼diag ðe k1t ; e k2t ;...; e knt, where k 1 ; k 2 ;...; k N are the eigenvalues of matrix C for a given thermal model, 47 M Total number of cores in the system, 37 42, 52, M H Total number of task sets in which some task partitioning algorithm partitions the tasks, 187, 190, 192, 208 M Total number of task sets in which we partition the tasks, 37 42, 184, 187 m Set m ¼ m 1 ; m 2 ;...; m Q that represents the number of active cores for core types f1; 2;...; Qg respectively, 63, 75 77, 83, 84 Mk cluster Total number of cores inside cluster/island k, 37, 217, 219, 223 M cluster max M 6¼0 Maximum number of cores inside a cluster among all clusters, i.e., Mmax cluster ¼ max 1 k V Mk cluster, 227, When partitioning tasks using DLTF, the resulting number of cores after regrouping with cycle utilization larger than 0, i.e., the cores that remain active, 142, 145, ,

26 xxviii Symbols Mq type Total number of cores of type q, 37, 39, 49, 175, 177 N Total number of thermal nodes in the RC thermal network, such that there are at least as many thermal nodes in the RC thermal network as blocks in the floorplan, i.e., N Z, 45 48, 117 X Auxiliary matrix X ¼ X i;j, used to speed up the NN computation of the transient temperatures in MatEx, , 104, 269 X k;i Element in row k and column i inside auxiliary matrix X, such that 1 k N and 1 i N, P Column vector P ¼½p i NN that contains the values of the power consumption on every node of an RC thermal network, 46, 48, P blocks Column vector P blocks ¼ p blocks i that represents the N1 power consumption on other blocks in the floorplan that do not correspond to cores (e.g., a block of an L2 cache), 48, 49, 63, 63, 75 P core ð f Þ Approximated average power consumption on a CMOS core for the case in which the core runs at the same frequency which determines the voltage of the cluster, where f is the execution frequency of the core, 41, 134, 135, 142, 174 P core ðf cluster ; f Þ Approximated average power consumption on a CMOS core for the general case of having voltage scaling at a cluster level and frequency scaling at a core level, where f cluster is the highest execution frequency among all cores in the cluster (thus setting the voltage of the cluster), and f is the execution frequency of the core, 41, 136, 137, 147 P core inactj Power consumption of core j (among all M in the chip) when the core is inactive (i.e., idle or in a low-power mode), 74, 77 P core inactm Power consumption of core m (among all M in the chip) when the core is inactive (i.e., idle or in a low-power mode), 39 P core inact P core q max ðmþ P core q max ðxþ Power consumption of an inactive core (i.e., idle or in a low-power mode) for the special case of homogeneous manycore systems, 40, 65 67, 70, 71, 78 Auxiliary function used to assist in deriving the amount of power density that any m ¼ m 1 ; m 2 ;...; m Q active cores are allowed to consume, such that the total power consumption precisely reaches the value of P max,77 Auxiliary function used to assist in deriving the amount of power density that the active cores in mapping X are allowed to consume, such that the total power consumption precisely reaches the value of P max, 74, 75

27 Symbols P core max ðmþ P cores P q equal P equal ^P # P max ^P OPT P q P s n q P rest ^P DLTF SFA F type q;j F type q;j Auxiliary function used to assist in deriving the amount of power that any m active cores are allowed to consume, such that the total power consumption precisely reaches the value of P max, 67, 72, 73 Column vector P cores ¼½p cores i N1 represents the power consumption on the cores, 48, 49 For a heterogeneous or homogeneous manycore system, power density on all active cores when we assume that all active cores have equal power density at a given point in time, 74, 76 For a homogeneous manycore system, power consumption of all active cores when we assume that all active cores are consuming equal power at a given point in time, 65, 66, 68, 70 72, 85 Lower bound for the optimal peak power consumption for the optimal task partition and any feasible DVFS schedule during a hyper-period D, 135, 136, 156, 165, 171, 176, 179 Maximum chip power constraint for the entire chip that cannot be exceeded (e.g., from the capacity of the power supply or the wire thickness), 40, 52, 64 67, 70 72, 74 77, , 123 Optimal peak power consumption for the optimal task partition and optimal DVFS schedule during a hyper-period D, 123, 135, 136 Average power consumption on a core of type q running at frequency index j (such that 0 j ^F q type ), in case that all tasks consume equivalent power when executing at the same frequency on a core of type q i.e., in case that P s 1 q P s 2 q F type q;j ¼¼P s R q F type q;j ¼ P q F type q;j such that s f 1 crit q ¼ f crit s 2 q ¼¼f crit s R q ¼ f crit q,218, 230 F type q;j Average power consumption on a core of type q when executing task s n when executing task j (such that 0 j ^F q type ), 39, 43, 218, 219, 230 xxix ¼ Column vector P rest ¼ ½p rest N1 that represents the power consumption of thermal nodes that are on the floorplan (e.g., internal thermal nodes of the heat sink), for which it holds that p rest i ¼ 0 for all i, 48, 49 Total peak power consumption for partitioning tasks with DLTF and selecting the DVFS schedule with SFA, 134, 137, 145, 165

28 xxx Symbols ^P DLTF SVA P q TSP ðxþ P TSP ðxþ P qh TSP ðxþ P H TSP ðxþ P q worst TSP P worst TSP Total peak power consumption for partitioning tasks with DLTF and selecting the DVFS schedule with SVA, 136, 147, 171 Per-core power density budget for each active core in the specified core mapping (independent of the type of core) that results in a maximum steady-state temperature among all cores which does not exceed the critical threshold temperature for triggering DTM, for heterogeneous or homogeneous manycore systems, 63, Per-core power budget for each active core in the specified core mapping that results in a maximum steady-state temperature among all cores which does not exceed the critical threshold temperature for triggering DTM, for homogeneous manycore systems, 62 64, 67, 68 Per-core power density budget for each active core in the specified core mapping (independent of the type of core) while ignoring P max that results in a maximum steady-state temperature among all cores which does not exceed the critical threshold temperature for triggering DTM, for heterogeneous or homogeneous manycore systems, 74, 75 Per-core power budget for each active core in the specified core mapping while ignoring P max that results in a maximum steady-state temperature among all cores which does not exceed the critical threshold temperature for triggering DTM, for homogeneous manycore systems, ðmþ Per-core power density budget (independent of the type of core) for each active core in any possible core mapping with m ¼ m 1 ; m 2 ;...; m Q active cores for core types f1; 2;...; Qg, respectively, that results in a maximum steady-state temperature among all cores which does not exceed the critical threshold temperature for triggering DTM, for heterogeneous or homogeneous manycore systems, 63, 75, 77 ðmþ Per-core power budget for each active core in any possible core mapping with m simultaneously active cores that results in a maximum steady-state temperature among all cores which does not exceed the critical threshold temperature for triggering DTM, for homogeneous manycore systems, 62, 67, 68, 70, 72, 73, 83, 84

29 Symbols xxxi P qhworst TSP P Hworst TSP P type inact q ðmþ Per-core power density budget (independent of the type of core) for each active core in any possible core mapping with m ¼ m 1 ; m 2 ;...; m Q active cores for core types f1; 2;...; Qg, respectively, that results in a maximum steady-state temperature among all cores which does not exceed the critical threshold temperature for triggering DTM while ignoring P max, for heterogeneous or homogeneous manycore systems, 76, 77 ðmþ Per-core power budget for each active core in any possible core mapping with m simultaneously active cores while ignoring P max that results in a maximum steady-state temperature among all cores which does not exceed the critical threshold temperature for triggering DTM, for homogeneous manycore systems, 71, 72, 73 Power consumption of a core of type q when the core is inactive (i.e., idle or in a low-power mode), 39, 76, 77, 218 Q Number of different types of cores in the system, 37, 39, 49, 61 Q h Index identifying the types of cores inside cluster/island k, k 37, 217, 219 R Total number of tasks to be executed, q energy SFA peak power qsfa q SVA S DLTF i S LTF i Worst-case energy consumption and peak power consumption ratio for SFA when we consider discrete DVFS levels against the continuous cases, 176, 177 Worst-case energy consumption and peak power consumption ratio for SFA when we consider discrete DVFS levels against the continuous cases, 176, 177 Worst-case energy consumption and peak power consumption ratio for SVA when we consider discrete DVFS levels against the continuous cases, 177 Task set assigned to core i after partitioning the tasks using the DLTF strategy, 140, 141, 143, 147 Task set assigned to core i after partitioning the tasks using the LTF strategy, 139 S i Task set assigned to core i, xv, 37, 186, 197 T 0 Column vector T 0 ¼ Ti 0 ðþ t that accounts for the N1 first-order derivative of the temperature on each thermal node of an RC thermal network with respect to time 46, 48, 96 T Column vector T ¼ ½T i ðþ t N1 that represents the temperatures on the thermal nodes of an RC thermal network, T amb Ambient temperature, 16, 46, 47, 52, 59, 62 64, 68, 71, 73, 76, 80, 91, 95, 96, 124

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Jian-Jia Chen *, Chuan Yue Yang, Tei-Wei Kuo, and Chi-Sheng Shih Embedded Systems and Wireless Networking Lab. Department of Computer

More information

Semantics of the Probabilistic Typed Lambda Calculus

Semantics of the Probabilistic Typed Lambda Calculus Semantics of the Probabilistic Typed Lambda Calculus Dirk Draheim Semantics of the Probabilistic Typed Lambda Calculus Markov Chain Semantics, Termination Behavior, and Denotational Semantics Dirk Draheim

More information

Real-Time Software Transactional Memory: Contention Managers, Time Bounds, and Implementations

Real-Time Software Transactional Memory: Contention Managers, Time Bounds, and Implementations Real-Time Software Transactional Memory: Contention Managers, Time Bounds, and Implementations Mohammed El-Shambakey Dissertation Submitted to the Faculty of the Virginia Polytechnic Institute and State

More information

Dynamics and Control of Lorentz-Augmented Spacecraft Relative Motion

Dynamics and Control of Lorentz-Augmented Spacecraft Relative Motion Dynamics and Control of Lorentz-Augmented Spacecraft Relative Motion Ye Yan Xu Huang Yueneng Yang Dynamics and Control of Lorentz-Augmented Spacecraft Relative Motion 123 Ye Yan College of Aerospace Science

More information

Fundamentals of Electrical Circuit Analysis

Fundamentals of Electrical Circuit Analysis Fundamentals of Electrical Circuit Analysis Md. Abdus Salam Quazi Mehbubar Rahman Fundamentals of Electrical Circuit Analysis 123 Md. Abdus Salam Electrical and Electronic Engineering Programme Area, Faculty

More information

SpringerBriefs in Probability and Mathematical Statistics

SpringerBriefs in Probability and Mathematical Statistics SpringerBriefs in Probability and Mathematical Statistics Editor-in-chief Mark Podolskij, Aarhus C, Denmark Series editors Nina Gantert, Münster, Germany Richard Nickl, Cambridge, UK Sandrine Péché, Paris,

More information

Electrochemical Science for a Sustainable Society

Electrochemical Science for a Sustainable Society Electrochemical Science for a Sustainable Society Kohei Uosaki Editor Electrochemical Science for a Sustainable Society A Tribute to John O M Bockris 123 Editor Kohei Uosaki National Institute for Materials

More information

Multivariable Calculus with MATLAB

Multivariable Calculus with MATLAB Multivariable Calculus with MATLAB Ronald L. Lipsman Jonathan M. Rosenberg Multivariable Calculus with MATLAB With Applications to Geometry and Physics Ronald L. Lipsman Department of Mathematics University

More information

CISM International Centre for Mechanical Sciences

CISM International Centre for Mechanical Sciences CISM International Centre for Mechanical Sciences Courses and Lectures Volume 580 Series editors The Rectors Elisabeth Guazzelli, Marseille, France Franz G. Rammerstorfer, Vienna, Austria Wolfgang A. Wall,

More information

Non-Western Theories of International Relations

Non-Western Theories of International Relations Non-Western Theories of International Relations Alexei D. Voskressenski Non-Western Theories of International Relations Conceptualizing World Regional Studies Alexei D. Voskressenski MGIMO University Moscow,

More information

Springer Atmospheric Sciences

Springer Atmospheric Sciences Springer Atmospheric Sciences More information about this series at http://www.springer.com/series/10176 Ewa Łupikasza The Climatology of Air- Mass and Frontal Extreme Precipitation Study of meteorological

More information

Non-Instantaneous Impulses in Differential Equations

Non-Instantaneous Impulses in Differential Equations Non-Instantaneous Impulses in Differential Equations Ravi Agarwal Snezhana Hristova Donal O Regan Non-Instantaneous Impulses in Differential Equations 123 Ravi Agarwal Department of Mathematics Texas A&M

More information

Temperature Issues in Modern Computer Architectures

Temperature Issues in Modern Computer Architectures 12 Temperature Issues in Modern omputer Architectures Basis: Pagani et al., DATE 2015, ODES+ISSS 2014 Babak Falsafi: Dark Silicon & Its Implications on Server hip Design, Microsoft Research, Nov. 2010

More information

Lecture Notes in Mathematics 2209

Lecture Notes in Mathematics 2209 Lecture Notes in Mathematics 2209 Editors-in-Chief: Jean-Michel Morel, Cachan Bernard Teissier, Paris Advisory Board: Michel Brion, Grenoble Camillo De Lellis, Zurich Alessio Figalli, Zurich Davar Khoshnevisan,

More information

Public Participation as a Tool for Integrating Local Knowledge into Spatial Planning

Public Participation as a Tool for Integrating Local Knowledge into Spatial Planning Public Participation as a Tool for Integrating Local Knowledge into Spatial Planning Tal Berman Public Participation as a Tool for Integrating Local Knowledge into Spatial Planning Planning, Participation,

More information

Fractal Control Theory

Fractal Control Theory Fractal Control Theory Shu-Tang Liu Pei Wang Fractal Control Theory 123 Shu-Tang Liu College of Control Science and Engineering Shandong University Jinan China Pei Wang College of Electrical Engineering

More information

Igor Emri Arkady Voloshin. Statics. Learning from Engineering Examples

Igor Emri Arkady Voloshin. Statics. Learning from Engineering Examples Statics Igor Emri Arkady Voloshin Statics Learning from Engineering Examples Igor Emri University of Ljubljana Ljubljana, Slovenia Arkady Voloshin Lehigh University Bethlehem, PA, USA ISBN 978-1-4939-2100-3

More information

Topics in Algebra and Analysis

Topics in Algebra and Analysis Radmila Bulajich Manfrino José Antonio Gómez Ortega Rogelio Valdez Delgado Topics in Algebra and Analysis Preparing for the Mathematical Olympiad Radmila Bulajich Manfrino Facultad de Ciencias Universidad

More information

SpringerBriefs in Mathematics

SpringerBriefs in Mathematics SpringerBriefs in Mathematics Series Editors Nicola Bellomo Michele Benzi Palle E.T. Jorgensen Tatsien Li Roderick Melnik Otmar Scherzer Benjamin Steinberg Lothar Reichel Yuri Tschinkel G. George Yin Ping

More information

Advanced Structured Materials

Advanced Structured Materials Advanced Structured Materials Volume 26 Series editors Andreas Öchsner, Southport Queensland, Australia Lucas F.M. da Silva, Porto, Portugal Holm Altenbach, Magdeburg, Germany More information about this

More information

Springer Series on Atomic, Optical, and Plasma Physics

Springer Series on Atomic, Optical, and Plasma Physics Springer Series on Atomic, Optical, and Plasma Physics Volume 51 Editor-in-chief Gordon W. F. Drake, Department of Physics, University of Windsor, Windsor, ON, Canada Series editors James Babb, Harvard-Smithsonian

More information

Dynamics Formulas and Problems

Dynamics Formulas and Problems Dynamics Formulas and Problems Dietmar Gross Wolfgang Ehlers Peter Wriggers Jörg Schröder Ralf Müller Dynamics Formulas and Problems Engineering Mechanics 3 123 Dietmar Gross Division of Solid Mechanics

More information

Solid Phase Microextraction

Solid Phase Microextraction Solid Phase Microextraction Gangfeng Ouyang Ruifen Jiang Editors Solid Phase Microextraction Recent Developments and Applications 123 Editors Gangfeng Ouyang School of Chemistry Sun Yat-sen University

More information

Doubt-Free Uncertainty In Measurement

Doubt-Free Uncertainty In Measurement Doubt-Free Uncertainty In Measurement Colin Ratcliffe Bridget Ratcliffe Doubt-Free Uncertainty In Measurement An Introduction for Engineers and Students Colin Ratcliffe United States Naval Academy Annapolis

More information

Particle Acceleration and Detection

Particle Acceleration and Detection Particle Acceleration and Detection Series Editors Alexander Chao SLAC Menlo Park, CA USA Frank Zimmermann CERN SL-Division AP Group Genève Switzerland Katsunobu Oide KEK High Energy Accelerator Research

More information

Theory of Nonparametric Tests

Theory of Nonparametric Tests Theory of Nonparametric Tests Thorsten Dickhaus Theory of Nonparametric Tests 123 Thorsten Dickhaus Institute for Statistics University of Bremen Bremen, Germany ISBN 978-3-319-76314-9 ISBN 978-3-319-76315-6

More information

Springer Proceedings in Mathematics & Statistics. Volume 226

Springer Proceedings in Mathematics & Statistics. Volume 226 Springer Proceedings in Mathematics & Statistics Volume 226 Springer Proceedings in Mathematics & Statistics This book series features volumes composed of selected contributions from workshops and conferences

More information

Statics and Influence Functions From a Modern Perspective

Statics and Influence Functions From a Modern Perspective Statics and Influence Functions From a Modern Perspective Friedel Hartmann Peter Jahn Statics and Influence Functions From a Modern Perspective 123 Friedel Hartmann Department of Civil Engineering University

More information

Advanced Calculus of a Single Variable

Advanced Calculus of a Single Variable Advanced Calculus of a Single Variable Tunc Geveci Advanced Calculus of a Single Variable 123 Tunc Geveci Department of Mathematics and Statistics San Diego State University San Diego, CA, USA ISBN 978-3-319-27806-3

More information

Fundamentals of Mass Determination

Fundamentals of Mass Determination Fundamentals of Mass Determination Michael Borys Roman Schwartz Arthur Reichmuth Roland Nater Fundamentals of Mass Determination 123 Michael Borys Fachlabor 1.41 Physikalisch-Technische Bundesanstalt Bundesallee

More information

Astronomers Universe. More information about this series at

Astronomers Universe. More information about this series at Astronomers Universe More information about this series at http://www.springer.com/series/6960 ThiS is a FM Blank Page John Wilkinson The Solar System in Close-Up John Wilkinson Castlemaine, Victoria Australia

More information

SpringerBriefs in Statistics

SpringerBriefs in Statistics SpringerBriefs in Statistics For further volumes: http://www.springer.com/series/8921 Jeff Grover Strategic Economic Decision-Making Using Bayesian Belief Networks to Solve Complex Problems Jeff Grover

More information

SpringerBriefs in Agriculture

SpringerBriefs in Agriculture SpringerBriefs in Agriculture More information about this series at http://www.springer.com/series/10183 Marina Dermastia Assunta Bertaccini Fiona Constable Nataša Mehle Grapevine Yellows Diseases and

More information

Springer Proceedings in Mathematics & Statistics. Volume 206

Springer Proceedings in Mathematics & Statistics. Volume 206 Springer Proceedings in Mathematics & Statistics Volume 206 Springer Proceedings in Mathematics & Statistics This book series features volumes composed of selected contributions from workshops and conferences

More information

Springer Biographies

Springer Biographies Springer Biographies More information about this series at http://www.springer.com/series/13617 Wolfgang W. Osterhage Galileo Galilei At the Threshold of the Scientific Age 123 Wolfgang W. Osterhage Wachtberg,

More information

UNITEXT La Matematica per il 3+2. Volume 87

UNITEXT La Matematica per il 3+2. Volume 87 UNITEXT La Matematica per il 3+2 Volume 87 More information about this series at http://www.springer.com/series/5418 Sandro Salsa Gianmaria Verzini Partial Differential Equations in Action Complements

More information

Lecture Notes in Mathematics 2156

Lecture Notes in Mathematics 2156 Lecture Notes in Mathematics 2156 Editors-in-Chief: J.-M. Morel, Cachan B. Teissier, Paris Advisory Board: Camillo De Lellis, Zurich Mario di Bernardo, Bristol Alessio Figalli, Austin Davar Khoshnevisan,

More information

Generalized Locally Toeplitz Sequences: Theory and Applications

Generalized Locally Toeplitz Sequences: Theory and Applications Generalized Locally Toeplitz Sequences: Theory and Applications Carlo Garoni Stefano Serra-Capizzano Generalized Locally Toeplitz Sequences: Theory and Applications Volume I 123 Carlo Garoni Department

More information

Springer Series in Statistics

Springer Series in Statistics Springer Series in Statistics Series editors Peter Bickel, CA, USA Peter Diggle, Lancaster, UK Stephen E. Fienberg, Pittsburgh, PA, USA Ursula Gather, Dortmund, Germany Ingram Olkin, Stanford, CA, USA

More information

Quantum Biological Information Theory

Quantum Biological Information Theory Quantum Biological Information Theory Ivan B. Djordjevic Quantum Biological Information Theory Ivan B. Djordjevic Department of Electrical and Computer Engineering University of Arizona Tucson, AZ, USA

More information

TempoMP: Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs

TempoMP: Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs : Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs Shervin Sharifi, Raid Ayoub, Tajana Simunic Rosing Computer Science and Engineering Department University of California, San

More information

Undergraduate Lecture Notes in Physics

Undergraduate Lecture Notes in Physics Undergraduate Lecture Notes in Physics Undergraduate Lecture Notes in Physics (ULNP) publishes authoritative texts covering topics throughout pure and applied physics. Each title in the series is suitable

More information

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors Technical Report GIT-CERCS Thermal Field Management for Many-core Processors Minki Cho, Nikhil Sathe, Sudhakar Yalamanchili and Saibal Mukhopadhyay School of Electrical and Computer Engineering Georgia

More information

Advanced Courses in Mathematics CRM Barcelona

Advanced Courses in Mathematics CRM Barcelona Advanced Courses in Mathematics CRM Barcelona Centre de Recerca Matemàtica Managing Editor: Carles Casacuberta More information about this series at http://www.springer.com/series/5038 Giovanna Citti Loukas

More information

HIGH-PERFORMANCE circuits consume a considerable

HIGH-PERFORMANCE circuits consume a considerable 1166 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL 17, NO 11, NOVEMBER 1998 A Matrix Synthesis Approach to Thermal Placement Chris C N Chu D F Wong Abstract In this

More information

Studies in Systems, Decision and Control. Series editor Janusz Kacprzyk, Polish Academy of Sciences, Warsaw, Poland

Studies in Systems, Decision and Control. Series editor Janusz Kacprzyk, Polish Academy of Sciences, Warsaw, Poland Studies in Systems, Decision and Control Volume 13 Series editor Janusz Kacprzyk, Polish Academy of Sciences, Warsaw, Poland e-mail: kacprzyk@ibspan.waw.pl About this Series The series "Studies in Systems,

More information

Lecture Notes in Mathematics 2138

Lecture Notes in Mathematics 2138 Lecture Notes in Mathematics 2138 Editors-in-Chief: J.-M. Morel, Cachan B. Teissier, Paris Advisory Board: Camillo De Lellis, Zurich Mario di Bernardo, Bristol Alessio Figalli, Austin Davar Khoshnevisan,

More information

Springer INdAM Series

Springer INdAM Series Springer INdAM Series Volume 21 Editor-in-Chief G. Patrizio Series Editors C. Canuto G. Coletti G. Gentili A. Malchiodi P. Marcellini E. Mezzetti G. Moscariello T. Ruggeri More information about this series

More information

Temperature-Aware Analysis and Scheduling

Temperature-Aware Analysis and Scheduling Temperature-Aware Analysis and Scheduling Lothar Thiele, Pratyush Kumar Overview! Introduction! Power and Temperature Models! Analysis Real-time Analysis Worst-case Temperature Analysis! Scheduling Stop-and-go

More information

Progress in Mathematics 313. Jaume Llibre Rafael Ramírez. Inverse Problems in Ordinary Differential Equations and Applications

Progress in Mathematics 313. Jaume Llibre Rafael Ramírez. Inverse Problems in Ordinary Differential Equations and Applications Progress in Mathematics 313 Jaume Llibre Rafael Ramírez Inverse Problems in Ordinary Differential Equations and Applications Progress in Mathematics Volume 313 Series Editors Hyman Bass, University of

More information

Tritium: Fuel of Fusion Reactors

Tritium: Fuel of Fusion Reactors Tritium: Fuel of Fusion Reactors Tetsuo Tanabe Editor Tritium: Fuel of Fusion Reactors 123 Editor Tetsuo Tanabe Interdisciplinary Graduate School of Engineering Sciences Kyushu University Fukuoka Japan

More information

ThiS is a FM Blank Page

ThiS is a FM Blank Page Acid-Base Diagrams ThiS is a FM Blank Page Heike Kahlert Fritz Scholz Acid-Base Diagrams Heike Kahlert Fritz Scholz Institute of Biochemistry University of Greifswald Greifswald Germany English edition

More information

Theoretical Physics 4

Theoretical Physics 4 Theoretical Physics 4 Wolfgang Nolting Theoretical Physics 4 Special Theory of Relativity 123 Wolfgang Nolting Inst. Physik Humboldt-UniversitRat zu Berlin Berlin, Germany ISBN 978-3-319-44370-6 ISBN 978-3-319-44371-3

More information

Challenges and Advances in Computational Chemistry and Physics

Challenges and Advances in Computational Chemistry and Physics Challenges and Advances in Computational Chemistry and Physics Volume 25 Series editor Jerzy Leszczynski Department of Chemistry and Biochemistry Jackson State University, Jackson, MS, This book series

More information

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Vinay Hanumaiah Electrical Engineering Department Arizona State University, Tempe, USA Email: vinayh@asu.edu

More information

Thermal Scheduling SImulator for Chip Multiprocessors

Thermal Scheduling SImulator for Chip Multiprocessors TSIC: Thermal Scheduling SImulator for Chip Multiprocessors Kyriakos Stavrou Pedro Trancoso CASPER group Department of Computer Science University Of Cyprus The CASPER group: Computer Architecture System

More information

Energy-efficient Mapping of Big Data Workflows under Deadline Constraints

Energy-efficient Mapping of Big Data Workflows under Deadline Constraints Energy-efficient Mapping of Big Data Workflows under Deadline Constraints Presenter: Tong Shu Authors: Tong Shu and Prof. Chase Q. Wu Big Data Center Department of Computer Science New Jersey Institute

More information

An Energy-Efficient Semi-Partitioned Approach for Hard Real-Time Systems with Voltage and Frequency Islands

An Energy-Efficient Semi-Partitioned Approach for Hard Real-Time Systems with Voltage and Frequency Islands Utah State University DigitalCommons@USU All Graduate Theses and Dissertations Graduate Studies 5-2016 An Energy-Efficient Semi-Partitioned Approach for Hard Real-Time Systems with Voltage and Frequency

More information

Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints

Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints Jian-Jia Chen Computer Engineering and Networks Laboratory (TIK) ETH Zurich, Switzerland jchen@tik.ee.ethz.ch Shengquan Wang Department

More information

Statistics and Measurement Concepts with OpenStat

Statistics and Measurement Concepts with OpenStat Statistics and Measurement Concepts with OpenStat William Miller Statistics and Measurement Concepts with OpenStat William Miller Urbandale, Iowa USA ISBN 978-1-4614-5742-8 ISBN 978-1-4614-5743-5 (ebook)

More information

Wei Gao. Editor. Graphene Oxide. Reduction Recipes, Spectroscopy, and Applications

Wei Gao. Editor. Graphene Oxide. Reduction Recipes, Spectroscopy, and Applications Graphene Oxide Wei Gao Editor Graphene Oxide Reduction Recipes, Spectroscopy, and Applications Editor Wei Gao The Department of Textile Engineering Chemistry & Science, College of Textiles North Carolina

More information

Plant and Vegetation. Volume 14. Series editor M.J.A. Werger, Utrecht, The Netherlands

Plant and Vegetation. Volume 14. Series editor M.J.A. Werger, Utrecht, The Netherlands Plant and Vegetation Volume 14 Series editor M.J.A. Werger, Utrecht, The Netherlands Plant and Vegetation is a new Springer series comprising a series of books that present current knowledge and new perspectives

More information

Blind Identification of Power Sources in Processors

Blind Identification of Power Sources in Processors Blind Identification of Power Sources in Processors Sherief Reda School of Engineering Brown University, Providence, RI 2912 Email: sherief reda@brown.edu Abstract The ability to measure power consumption

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

Energy Reduction Techniques for Systemswith Non-DVS Components

Energy Reduction Techniques for Systemswith Non-DVS Components Energy Reduction Techniques for Systemswith Non-DVS Components Chuan-Yue Yang, Jian-Jia Chen, Tei-Wei Kuo, Lothar Thiele Department of Computer Science and Information Engineering National Taiwan University,

More information

Geotechnologies and the Environment

Geotechnologies and the Environment Geotechnologies and the Environment Volume 14 Series editors Jay D. Gatrell, Vice Provost & Professor of Geography and Environmental Studies, Offi ce of Academic Affairs, Bellarmine University, Louisville,

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Modern Power Systems Analysis

Modern Power Systems Analysis Modern Power Systems Analysis Xi-Fan Wang l Yonghua Song l Malcolm Irving Modern Power Systems Analysis 123 Xi-Fan Wang Xi an Jiaotong University Xi an People s Republic of China Yonghua Song The University

More information

Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems

Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems Shahin Golshan 1, Eli Bozorgzadeh 1, Benamin C Schafer 2, Kazutoshi Wakabayashi 2, Houman Homayoun 1 and Alex Veidenbaum

More information

Attractors Under Discretisation

Attractors Under Discretisation SPRINGER BRIEFS IN MATHEMATICS Xiaoying Han Peter Kloeden Attractors Under Discretisation 123 SpringerBriefs in Mathematics Series editors Nicola Bellomo, Torino, Italy Michele Benzi, Atlanta, USA Palle

More information

Statics and Mechanics of Structures

Statics and Mechanics of Structures Statics and Mechanics of Structures Steen Krenk Jan Høgsberg Statics and Mechanics of Structures Prof. Steen Krenk Department of Mechanical Engineering Technical University of Denmark Kongens Lyngby,

More information

SpringerBriefs in Applied Sciences and Technology

SpringerBriefs in Applied Sciences and Technology SpringerBriefs in Applied Sciences and Technology Nonlinear Circuits Series editors Luigi Fortuna, Catania, Italy Guanrong Chen, Kowloon, Hong Kong SAR, P.R. China SpringerBriefs in Nonlinear Circuits

More information

FastSpot: Host-Compiled Thermal Estimation for Early Design Space Exploration

FastSpot: Host-Compiled Thermal Estimation for Early Design Space Exploration FastSpot: Host-Compiled Thermal Estimation for Early Design Space Exploration Darshan Gandhi, Andreas Gerstlauer, Lizy John Electrical and Computer Engineering The University of Texas at Austin Email:

More information

Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors

Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors Ravishankar Rao and Sarma Vrudhula Department of Computer Science and Engineering Arizona

More information

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering Thermal Modeling, Analysis and Management of 2D Multi-Processor System-on-Chip Prof David Atienza Alonso Embedded Systems Laboratory (ESL) Institute of EE, Falty of Engineering Outline MPSoC thermal modeling

More information

Mechanics of Materials

Mechanics of Materials Mechanics of Materials Parviz Ghavami Mechanics of Materials An Introduction to Engineering Technology Parviz Ghavami Harlingen, TX, USA ISBN 978-3-319-07571-6 ISBN 978-3-319-07572-3 (ebook) DOI 10.1007/978-3-319-07572-3

More information

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Performance, Power & Energy ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Recall: Goal of this class Performance Reconfiguration Power/ Energy H. So, Sp10 Lecture 3 - ELEC8106/6102 2 PERFORMANCE EVALUATION

More information

Ahsan Habib Khandoker Chandan Karmakar Michael Brennan Andreas Voss Marimuthu Palaniswami. Poincaré Plot Methods for Heart Rate Variability Analysis

Ahsan Habib Khandoker Chandan Karmakar Michael Brennan Andreas Voss Marimuthu Palaniswami. Poincaré Plot Methods for Heart Rate Variability Analysis Ahsan Habib Khandoker Chandan Karmakar Michael Brennan Andreas Voss Marimuthu Palaniswami Poincaré Plot Methods for Heart Rate Variability Analysis Poincaré Plot Methods for Heart Rate Variability Analysis

More information

EURO Advanced Tutorials on Operational Research. Series editors M. Grazia Speranza, Brescia, Italy José Fernando Oliveira, Porto, Portugal

EURO Advanced Tutorials on Operational Research. Series editors M. Grazia Speranza, Brescia, Italy José Fernando Oliveira, Porto, Portugal EURO Advanced Tutorials on Operational Research Series editors M. Grazia Speranza, Brescia, Italy José Fernando Oliveira, Porto, Portugal More information about this series at http://www.springer.com/series/13840

More information

Publication of the Museum of Nature South Tyrol Nr. 11

Publication of the Museum of Nature South Tyrol Nr. 11 Publication of the Museum of Nature South Tyrol Nr. 11 ThiS is a FM Blank Page Erika Pignatti Sandro Pignatti Plant Life of the Dolomites Vegetation Tables Erika Pignatti Sandro Pignatti Rome Italy Publication

More information

Hiromitsu Yamagishi Netra Prakash Bhandary Editors. GIS Landslide

Hiromitsu Yamagishi Netra Prakash Bhandary Editors. GIS Landslide GIS Landslide Hiromitsu Yamagishi Netra Prakash Bhandary Editors GIS Landslide 123 Editors Hiromitsu Yamagishi Shin Engineering Consultant Co. Ltd. Sapporo Japan Netra Prakash Bhandary Ehime University

More information

On the Soft Real-Time Optimality of Global EDF on Multiprocessors: From Identical to Uniform Heterogeneous

On the Soft Real-Time Optimality of Global EDF on Multiprocessors: From Identical to Uniform Heterogeneous On the Soft Real-Time Optimality of Global EDF on Multiprocessors: From Identical to Uniform Heterogeneous Kecheng Yang and James H. Anderson Department of Computer Science, University of North Carolina

More information

Topics in Boundary Element

Topics in Boundary Element Topics in Boundary Element Research Edited by C. A. Brebbia Volume 7 Electrical Engineering Applications With 186 Figures and 11 Tables Springer-Verlag Berlin Heidelberg New York London Paris Tokyo Hong

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati CSE140L: Components and Design Techniques for Digital Systems Lab Power Consumption in Digital Circuits Pietro Mercati 1 About the final Friday 09/02 at 11.30am in WLH2204 ~2hrs exam including (but not

More information

Conference Proceedings of the Society for Experimental Mechanics Series

Conference Proceedings of the Society for Experimental Mechanics Series Conference Proceedings of the Society for Experimental Mechanics Series Series Editor Kristin B. Zimmerman, Ph.D. Society for Experimental Mechanics, Inc., Bethel, CT, USA More information about this series

More information

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems Zhe Wang, Sanjay Ranka and Prabhat Mishra Dept. of Computer and Information Science and Engineering University of Florida,

More information

Stochastic and Infinite Dimensional Analysis

Stochastic and Infinite Dimensional Analysis Trends in Mathematics Christopher C. Bernido Maria Victoria Carpio-Bernido Martin Grothaus Tobias Kuna Maria João Oliveira José Luís da Silva Editors Stochastic and Infinite Dimensional Analysis Stochastic

More information

A New Task Model and Utilization Bound for Uniform Multiprocessors

A New Task Model and Utilization Bound for Uniform Multiprocessors A New Task Model and Utilization Bound for Uniform Multiprocessors Shelby Funk Department of Computer Science, The University of Georgia Email: shelby@cs.uga.edu Abstract This paper introduces a new model

More information

Springer Series in Solid-State Sciences

Springer Series in Solid-State Sciences Springer Series in Solid-State Sciences Volume 186 Series editors Bernhard Keimer, Stuttgart, Germany Roberto Merlin, Ann Arbor, MI, USA Hans-Joachim Queisser, Stuttgart, Germany Klaus von Klitzing, Stuttgart,

More information

Universitext. Series editors Sheldon Axler San Francisco State University. Carles Casacuberta Universitat de Barcelona

Universitext. Series editors Sheldon Axler San Francisco State University. Carles Casacuberta Universitat de Barcelona Universitext Universitext Series editors Sheldon Axler San Francisco State University Carles Casacuberta Universitat de Barcelona Angus MacIntyre Queen Mary, University of London Kenneth Ribet University

More information

Evaluation and Validation

Evaluation and Validation Evaluation and Validation Jian-Jia Chen (Slides are based on Peter Marwedel) TU Dortmund, Informatik 12 Germany Springer, 2010 2016 年 01 月 05 日 These slides use Microsoft clip arts. Microsoft copyright

More information

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden of /4 4 Embedded Systems Design: Optimization Challenges Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden Outline! Embedded systems " Example area: automotive electronics " Embedded systems

More information

Multiprocessor Scheduling I: Partitioned Scheduling. LS 12, TU Dortmund

Multiprocessor Scheduling I: Partitioned Scheduling. LS 12, TU Dortmund Multiprocessor Scheduling I: Partitioned Scheduling Prof. Dr. Jian-Jia Chen LS 12, TU Dortmund 22/23, June, 2015 Prof. Dr. Jian-Jia Chen (LS 12, TU Dortmund) 1 / 47 Outline Introduction to Multiprocessor

More information

Mathematics for Physicists and Engineers

Mathematics for Physicists and Engineers Mathematics for Physicists and Engineers Klaus Weltner Sebastian John Wolfgang J. Weber Peter Schuster Jean Grosjean Mathematics for Physicists and Engineers Fundamentals and Interactive Study Guide 2nd

More information

Unit 1A: Computational Complexity

Unit 1A: Computational Complexity Unit 1A: Computational Complexity Course contents: Computational complexity NP-completeness Algorithmic Paradigms Readings Chapters 3, 4, and 5 Unit 1A 1 O: Upper Bounding Function Def: f(n)= O(g(n)) if

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints Emre Salman and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester

More information

Predicting Thermal Behavior for Temperature Management in Time-Critical Multicore Systems

Predicting Thermal Behavior for Temperature Management in Time-Critical Multicore Systems Predicting Thermal Behavior for Temperature Management in Time-Critical Multicore Systems Buyoung Yun and Kang G. Shin EECS Department, University of Michigan Ann Arbor, MI 48109-2121 {buyoung,kgshin}@eecs.umich.edu

More information

Stochastic Optimization Methods

Stochastic Optimization Methods Stochastic Optimization Methods Kurt Marti Stochastic Optimization Methods With 14 Figures 4y Springer Univ. Professor Dr. sc. math. Kurt Marti Federal Armed Forces University Munich Aero-Space Engineering

More information

SpringerBriefs in Earth System Sciences

SpringerBriefs in Earth System Sciences SpringerBriefs in Earth System Sciences South America and the Southern Hemisphere Series editors Gerrit Lohmann, Universität Bremen, Bremen, Germany Lawrence A. Mysak, Department of Atmospheric and Oceanic

More information