Model-Based I DDQ Pass/Fail Limit Setting

Similar documents
Is IDDQ Testing not Applicable for Deep Submicron VLSI in Year 2011?

Longest Path Selection for Delay Test under Process Variation

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University

Advanced Testing. EE5375 ADD II Prof. MacDonald

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults

Fault Tolerant Computing CS 530 Fault Modeling

EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS

PARADE: PARAmetric Delay Evaluation Under Process Variation *

Chapter 2 Fault Modeling

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Quiescent Signal Analysis for IC Diagnosis

What Does VLV Testing Detect?

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

SIMULATION-BASED APPROXIMATE GLOBAL FAULT COLLAPSING

Fault Modeling. Fault Modeling Outline

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

Algorithms for ATPG under Leakage Constraints

Predicting IC Defect Level using Diagnosis

incidence log(fault weight)

Lecture 5 Fault Modeling

Quarter-micrometre surface and buried channel PMOSFET modelling for circuit simulation

Resistive Bridge Fault Model Evolution From Conventional to Ultra Deep Submicron Technologies

VARIANCE REDUCTION AND OUTLIER IDENTIFICATION FOR I DDQ TESTING OF INTEGRATED CHIPS USING PRINCIPAL COMPONENT ANALYSIS. A Thesis VIJAY BALASUBRAMANIAN

Test-Length Selection and TAM Optimization for Wafer-Level, Reduced Pin-Count Testing of Core-Based Digital SoCs

S No. Questions Bloom s Taxonomy Level UNIT-I

mobility reduction design rule series resistance lateral electrical field transversal electrical field

10-1. Yield 1 + D 0 A e D 0 A

Defect-Oriented and Time-Constrained Wafer-Level Test-Length Selection for Core-Based Digital SoCs

ECE 342 Electronic Circuits. Lecture 34 CMOS Logic

Delay Testing from the Ivory Tower to Tools in the Workshop

Test Pattern Generator for Built-in Self-Test using Spectral Methods

Generation of High Quality Non-Robust Tests for Path Delay Faults

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

PLA Minimization for Low Power VLSI Designs

HIGH-PERFORMANCE circuits consume a considerable

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs

Extraction of Defect Density and Size Distributions

AOI21. Vdd. out1. Vdd GND. C 5 Interconnect Open FW X INV. Vdd C 3 C 6. out2 GND GND. Vsurf

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs *

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic

Leakage Current in Sub-Quarter Micron MOSFET: A Perspective on Stressed Delta I DDQ Testing

Switching Activity Calculation of VLSI Adders

A Novel LUT Using Quaternary Logic

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Extracting Defect Density and Size Distributions from Product ICs

Outline Fault Simulation

VECTOR REPETITION AND MODIFICATION FOR PEAK POWER REDUCTION IN VLSI TESTING

Reliability Modeling of Nanoelectronic Circuits

University of California. Santa Cruz. Carafe: An Inductive Fault Analysis Tool For CMOS VLSI Circuits. of the requirements for the degree of

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

A Unified Framework for Multimodal IC Trojan Detection

Moore s Law Technology Scaling and CMOS

VLSI Design I. Defect Mechanisms and Fault Models

Polynomial Coefficient Based DC Testing of Non-Linear Analog Circuits

IN THE literature, significant research has been focused on

for Submicron CMOS Digital Circuits Antoni Ferre and Joan Figueras Departament d'enginyeria Electronica Universitat Politecnica de Catalunya

Technology Mapping for Reliability Enhancement in Logic Synthesis

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

Stack Sizing for Optimal Current Drivability in Subthreshold Circuits REFERENCES

Performance Sensitivity Analysis Using Statistical Methods and Its Applications to Delay Testing

Area-Time Optimal Adder with Relative Placement Generator

Automatic Test Pattern Generation for Resistive Bridging Faults

EE382M-14 CMOS Analog Integrated Circuit Design

Lecture 16: Circuit Pitfalls

EE241 - Spring 2001 Advanced Digital Integrated Circuits

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Wakeup Time and Wakeup Energy Estimation in Power-Gated Logic Clusters

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction

Analyzing electrical effects of RTA-driven local anneal temperature variation

Yield Evaluation Methods of SRAM Arrays: a Comparative Study

A Diagnosis Algorithm for Bridging Faults in Combinational Circuits

Topics to be Covered. capacitance inductance transmission lines

Philips Research apple PHILIPS

Error Threshold for Individual Faulty Gates Using Probabilistic Transfer Matrix (PTM)

Power Grid Analysis Based on a Macro Circuit Model

Chapter 2. Design and Fabrication of VLSI Devices

Optimizing Stresses for Testing DRAM Cell Defects Using Electrical Simulation

DISTANCE BASED REORDERING FOR TEST DATA COMPRESSION

on a Stochastic Current Waveform Urbana, Illinois Dallas, Texas Abstract

Non-Linear Analog Circuit Test and Diagnosis under Process Variation using V-Transform Coefficients

2. (2pts) What is the major reason that contacts from metal to poly are not allowed on top of the gate of a transistor?

Features. Y Low power TTL Fan out of 2 driving 74L. Y 5V 10V 15V parametric ratings. Y Symmetrical output characteristics

Noise Modeling and Capacity Analysis for NAND Flash Memories

A New Multiple Weight Set Calculation Algorithm

Features. Y Low power TTL Fan out of 2 driving 74L. Y 5V 10V 15V parametric ratings. Y Symmetrical output characteristics

Performance/Complexity Space Exploration : Bulk vs. SOI

Dynamic Combinational Circuits. Dynamic Logic

Lecture 8-1. Low Power Design

EE371 - Advanced VLSI Circuit Design

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks

Contributions to the Evaluation of Ensembles of Combinational Logic Gates

CD4023M CD4023C Triple 3-Input NAND Gate CD4025M CD4025C Triple 3-Input NOR Gate

An Automated Approach for Evaluating Spatial Correlation in Mixed Signal Designs Using Synopsys HSpice

Aging Benefits in Nanometer CMOS Designs

CMOS Inverter (static view)

Transcription:

Model-Based I DDQ Pass/Fail Limit Setting T. Aruna Unni Xilinx Corp. 21 Logic Drive, San Jose CA 955 Ph: (48) 879-5366, Fax: (48) 377-3259 Email: aruna@xilinx.com Abstract This paper describes several methods for setting IDDQ pass/fail limits using cell-based process, circuit and logic simulation. We demonstrate trade-offs in accuracy and model building effort on the ISCAS85 circuits. 1. Introduction Quiescent current (I DDQ ) testing has been widely used for defect detection and diagnosis in CMOS integrated circuits [1,2,3,4,7]. However rising background currents in advanced technologies make discrimination between good and defect parts difficult [2,5,6,8]. High I DDQ parts are more likely to fail [9], but any reasonable I DDQ pass/fail limit results in test overkill [8]. Because of uncertainty in faultfree I DDQ levels, engineers have usually chosen to minimize overkill, with pass/fail limits set 5-1x above levels. Clearly many small I DDQ defects will escape such limits. If we knew the I DDQ for each circuit state and the normal variation, we could determine a faulty I DDQ level. An empirically-derived pass/fail limit of 4 times the standard deviation ( 4σ ) for each I DDQ measurement has been proposed [1]. The disadvantage of this approach is that the large amount of production data needed can only be justified for high-volume products. An improvement is to use wafer medians or the I DDQ levels of nearby die to estimate values [11]. A further improvement is to use current signatures [12]. This approach avoids the need for empirical model building, and it factors out normal process, environmental, and vector-to-vector variations. The disadvantage of current signatures is that in general a pass/fail decision requires a jump in the I DDQ or application of many or all vectors, resulting in increased average test time [13]. In this work we propose a model-based approach to the I DDQ limit setting problem. For each circuit, we develop several models of circuit I DDQ as a function of circuit state and process parameters. Deviations significantly above the predicted values can be classified as faults. The advantage of a model-based approach is that pass/fail limits can be determined with very little production experience, and can often be made quickly. This reduces test development and D. M. H. Walker Dept. of Computer Science Texas A&M University, College Station TX 77843 Ph: (49) 862-4387, Fax: (49) 847-8578 Email: walker@cs.tamu.edu production test costs. Most model-based approaches to date have focused on the variation in I DDQ from vector to vector [14,15,16] by evaluating the circuit state using a simulator and simple device equations or using a resistive switch model [16]. In the sections that follow we describe our I DDQ models, limit-setting methodology, experimental results, and conclusions. 2. Model building approach We use a hierarchical approach for computing I DDQ assuming a cell-based circuit implementation. We first compute cell-level I DDQ as a function of input values, circuit state and process parameters using process, device and circuit simulation. We then use this information in a logic-level simulation to compute circuit I DDQ and I DDQ process sensitivity for each input vector in a test set. In our work we assume all circuits are complementary CMOS, and so only subthreshold leakage is of interest [5]. Over limited device parameter intervals I OFF (the leakage current at V GS = ) can be approximated by: kvt / T Ioff ( WXc / L) e (1) where k is the Boltzmann constant, the product WX c denotes the channel cross-sectional current flow area, W is the channel width, L eff the effective channel length, V T the device threshold voltage and T the device temperature. Since X c is a function of a number of other process parameters which have low variance, W >> L eff in most devices, and assuming constant temperature, the variation in I DDQ is mostly be a function of variation in L eff and V T. We used the technology CAD framework pdfab [17] to compute the impact of variations in process parameters, on L eff and V T. Nominal process simulation was done, and then BSIM3v3 device parameters extracted for use in the HSPICE [18] circuit simulator. We used a two-fold process to determine the sensitivity V T and L eff to process parameters, by first determining the sensitivity of I DDQ in typical circuits to V T and L eff, and then determining the sensitivity of V T and L eff to process parameters that have significant variance. The result of the analysis is that for a typical deep submicron process, V T is most sensitive to changes in the V T adjust implant dose and L eff is most

sensitive to changes in polysilicon linewidth. We compute 4σ values for V T and L eff based on the estimated process parameter variance. We then use HSPICE to compute I DDQ as a function of input values, circuit state and process parameters for each cell in the cell library. The simulation is done for all input vectors and state combinations using, high and low V T, and L eff devices. A table is built for each cell with each entry containing the input values, state, I DDQ and sensitivities. Note that we are assuming that the increase/decrease in the I DDQ with respect to process variation is linear. Since Equation 1 is concave up, the linear approximation forms an upper bound. We are also approximating that all devices within a cell have the same process parameters, which is reasonable for small cells. The Cadence Verilog-XL logic simulator [19] is used to simulate a set of test vectors and compute the input values and state of each cell for each vector. For each vector j, the mean I DDQ µ j and sensitivity S ij to each process parameter i for the entire circuit is computed by summing the values for each cell. 3. Limit setting methods In the following subsections we propose several methods to set I DDQ limits with differing simulation requirements and accuracy. 3.1. Worst case method Using the µ j and S ij values, we compute worst-case circuit I DDQ for each vector j. We make the reasonable assumptions that process parameters are independent and normally-distributed with standard deviation σ i, and that for each vector the sign of S ij is the same for all cells. This allows us to assume the same parameter value for all cells. By approximating I DDQ as linear in the process parameters, we can define σ j the standard deviation for circuit I DDQ for vector j as: σ j = Sij 2 σi 2 (2) i and set a 4σ pass/fail limit as: max I DDQj = µ + 4 σ. (3) 3.2 Dynamic method j The problem with the worst case method is that a fault could be present in a low-i DDQ chip (e.g. a slow process corner) and escape detection. Rather than a single worstcase limit for each vector, we can correct for process variation by setting dynamic limits for each chip by measuring the I DDQ values for the first few vectors. If we see that the relationship among vectors fits the expected j pattern and is within the worst-case limits, then we can assume that these vectors do not sensitize a defect. We can then use these measurements to estimate the process parameters for this chip, and then compute pass/fail limits for all remaining vectors. The difference I DDQj between the measured I DDQ with process variations I DDQ measj and the value is: I DDQj = I DDQ measj - µ j (4) The process parameters we consider the poly linewidth P and the V T adjust implant dose D. Then for the first k vectors: I DDQ1 = S 11 P + S 21 D I DDQ2 = S 12 P + S 22 D... I DDQk = S 1k P + S 2k D (5) We then use singular value decomposition to compute P and D and compute the I DDQ limit for vector j as: max I DDQj = µ j + S1 j P+ S2 j P (6) We can estimate P and D from wafer test structures and scan chain flush delays to detect early vectors that are faulty, but do not exceed the worst-case limits. 3.3 Probability method The probability method uses a cell-level statistical analysis to determine I DDQ limits. For each cell we can determine the maximum I DDQ when the cell is ON (output at logic 1) or OFF (output at logic ). We assume single-output cells. Let a circuit have n cell instances G 1 to G n. Let the probability for cell G i to be ON be P ONi and to be OFF be P OFFi. Let the maximum I DDQ drawn by G i be I ONi when it is ON and be I OFFi when it is OFF, assuming 4-sigma process limits. We can estimate the worst case circuit I DDQ for all vectors as: n max IDDQ = ( PONi IONi + POFFi IOFFi) i= 1 The advantage of the probability method is that we do not have to simulate the entire test set, but only have to simulate enough vectors to estimate P ONi and P OFFi. A lower-cost approach that we will use is to assume a Universal gate circuit, i.e. composed of NAND, NOR and NOT gates, with XOR gates decomposed. For simplicity, we model all gates as two-input gates. By assuming equal probabilities for the input values to produce a given output value, we compute 4-sigma maximum I ON and I OFF values for each gate type using the tables of Section 2. Given G NAND NAND, G NOR NOR and G NOT NOT gates, the circuit I DDQ is computed as: I DDQ = G NAND (P ON,NAND I ON,NAND + P OFF,NAND I OFF,NAND ) + G NOR (P ON,NOR I ON,NOR + P OFF,NAND I OFF,NOR ) + G NOT (P ON,NOT I ON,NOT + P OFF,NOT I OFF,NOT ) (8) (7)

For complementary CMOS, NAND and NOT gates have maximum I DDQ when OFF, while NOR gates have maximum I DDQ when ON. So overall I DDQ is maximized when P OFF,NAND = P OFF,NOT = 1 and P ON,NOR = 1. Since there is no logic simulation, model-building need only be done once per cell library. 4. Experimental results We performed simulation experiments using the ISCAS85 circuits [19]. We assume the gates are implemented as complementary cells in a typical 35 nm CMOS process. We computed I DDQ and sensitivity values for each cell at 25C as described in Section 2, using typical process variations. We used ATALANTAgenerated stuck-at test sets [2]. We then calculated I DDQ using the worst case (WCI DDQ ), dynamic (DynI DDQ ) and probability (ProbI DDQ ) methods. For the dynamic method, the first few vectors were used (enough to get sufficiently different S ij values), with their I DDQ values generated using the worst case method. Thus the WCI DDQ and DynI DDQ predictions should be similar. The I DDQ and the three computed maximum I DDQ limits for each vector for the ISCAS85 circuits are shown in Figures 1 to 11. The WCI DDQ values can be considered the most accurate. The DynI DDQ predictions are slightly lower due to the linear approximation of Equation 1. Computing the process sensitivities around the worstcase parameter values would guarantee that the DynI DDQ prediction exceeds the WCI DDQ prediction. The ProbI DDQ limits are the most conservative for most vectors of most circuits, except for c6288. This can be attributed to the fact that the assumption that all gates are in their maximum leakage state (output value) is conservative. The reason the prediction for c6288 is low is that the assumption of equally-probable inputs for each gate output value is incorrect. A better estimate could be obtained with a small amount of random logic simulation. Table 1 shows a comparison of the maximum and minimum 4σ I DDQ limits over all vectors for the ISCAS85 circuits using the three methods. 5. Conclusions In this paper we have described several approaches for computing I DDQ pass/fail limits that trade off modelbuilding cost, accuracy, and quality. The worst-case method minimizes test overkill, but it requires logic simulation of the test set, and can let faults in a slow process escape. The dynamic method avoids this problem, but requires solution of a matrix problem during testing. The no-simulation probability is most practical for large circuits, but results in a single pass/fail limit, and causes overkill if its assumptions are invalid (as in c6288). The results show that for logic circuits, I DDQ varies mostly due to the process. This suggests using the dynamic method for good coverage without excessive overkill. References 1. R. Rajsuman, I DDQ Testing for CMOS VLSI, Artech, 1995. 2. A. Ferre and J. Figueras, I DDQ Characterization in Submicron CMOS, ITC, Wash. DC, 1997, pp. 136. 3. R. Gulati and C. Hawkins, I DDQ Testing of VLSI Circuits, Kluwer Academic Publishers, Boston, 1992. 4. H. Balachandran, Y. J. Kwon and D. M. H. Walker, I DDQ Current Calibration with Process Variations, IEEE Int l Workshop on I DDQ Testing, Wash. DC, 1995, pp. 61-65. 5. T. W. Williams, R. H. Dennard, R. Kapur, M. R. Mercer and W. Maly, I DDQ Test: Sensitivity Analysis of Scaling, Int l Test Conf., Washington DC, Oct. 1996, pp. 786-792. 6. J. Acken and S. Millman, Current Measurement Data To Demonstrate Setting Current Limits in a Complete I DDQ Testing Methodology, IEEE Int l Workshop on I DDQ Testing, Washington DC, 1995, pp. 69-72. 7. J. Acken, Testing for Bridging Faults (Shorts) in CMOS Circuits, Design Automation Conf., 1983, pp. 717-718. 8. SEMATECH Study, An Experimental Study Comparing the Relative Effectiveness of Functional Scan, I DDQ and Delay- Fault Testing, IEEE VLSI Test Symp., Apr. 1997, pp. 459. 9. T. Barrette, Evaluation of Early Failure Screening Methods, IEEE International Workshop on I DDQ Testing, Washington DC, October 1996, pp. 14-27. 1. T. Henry and T. Soo, Burn-In Elimination of a High Volume Microprocessor Using I DDQ, IEEE Int l Test Conf., Washington DC, October 1996, pp. 242-249. 11. A. D. Singh, A Comprehensive Wafer Oriented Test Evaluation (WOTE) Scheme for the I DDQ Testing of Deep Sub-Micron Technologies, IEEE Int l Workshop on I DDQ Testing, Washington DC, Nov. 1997, pp. 4-43. 12. A. Gattiker and W. Maly, Current Signatures: Application, Int l Test Conf., Wash. DC, 1997, pp. 156. 13. A. Gattiker and W. Maly, Current Signatures, VLSI Test Symp., Princeton NJ, April 1996, pp. 112-117. 14. A. Ferre and J. Figueras, On Estimating Bounds of the Quiescent Current for I DDQ Testing, VLSI Test Symposium, Princeton, April 1996, pp. 16. 15. P. Nigh, W. Needham, K. Butler, P. Maxwell, R. Aitken and W. Maly, So What is an Optimal Test Mix? A Discussion of the Sematech Methods Experiment, Int l Test Conf., Washington DC, Nov. 1997, pp. 137-138. 16. P. C. Maxwell and J. R. Rearick, A Simulation-Based Method for Estimating Defect-Free IDDQ, IEEE Int l Workshop on I DDQ Testing, Wash. DC, 1997, pp. 8-84. 17. PDF Solutions, Inc., pdfab Version 3.1, User Manual. 18. Meta-Software, Inc., HSPICE Manual. 19. F. Brglez and H. Fujiwara, A Neutral Netlist of 1 Combinational Benchmark Circuits and a Target Translator in Fortran, ISCAS, 1985, pp. 663-698. 2. H. Lee and D. Ha, On the Generation of Test Patterns for Combinational Circuits, Tech. Report No. 12_93, EE Dept, Virginia Polytechnic Institute and State University.

6 4 5 35 3 4 3 2 25 2 15 1 1 5 1 2 3 4 5 6 Figure 1. I DDQ current variations and limits for c17 25 1 6 11 16 21 26 31 36 41 46 51 56 61 66 Figure 4. I DDQ current variations and limits for c88 6 2 5 15 1 ` 4 3 2 5 1 1 6 11 16 21 26 31 36 41 46 51 Figure 2. I DDQ current variations and limits for c432 1 11 21 31 41 51 61 71 81 Figure 5. I DDQ current variations and limits for c1355 5 45 4 35 3 25 2 9 8 7 6 5 4 15 3 1 5 1 6 11 16 21 26 31 36 41 46 51 Figure 3. I DDQ current variations and limits for c499 2 1 1 11 21 31 41 51 61 71 81 91 11 111 121 Figure 6. I DDQ current variations and limits for c198

12 25 1 2 8 6 4 15 1 2 5 1 21 41 61 81 11 121 141 161 Figure 7. I DDQ current variations and limits for c267 18 16 14 12 1 8 6 4 2 1 21 41 61 81 11 121 141 161 Figure 8: I DDQ current variations and limits for c354 3 25 2 15 1 5 Prob-IDDQ_max 1 21 41 61 81 11 121 141 Figure 9. I DDQ current variations and limits for c5315 1 6 11 16 21 26 31 36 Figure 1. I DDQ current variations and limits for c6288 4 35 3 25 2 15 1 5 1 26 51 76 11 126 151 176 21 226 Figure 11. I DDQ current variations and limits for c7552 Table 1. ISCAS85 I DDQ 4-sigma values (pa) Circuit Worst Case Dynamic Probability Min Max Min Max Min Max c17 4 351 7 343 8 56 c432 373 23515 423 2295 492 2324 c499 738 447 788 45185 872 49598 c88 992 39479 162 38567 113 39443 c1355 872 47831 92 45368 91 5949 c198 127 7894 147 7731 1628 8812 c267 2696 11728 2696 113351 339 119464 c354 3591 166819 3691 163112 4865 168344 c5315 7492 265117 7642 256818 7367 271361 c6288 8171 27443 8363 195628 8635 168955 c7552 946 353959 9246 341329 9355 36111