Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Similar documents
High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Actinic review of EUV masks: First results from the AIMS EUV system integration

Analysis of carbon contamination on EUV mask using CSM/ ICS

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

We published the text from the next page.

Status of EUV Sources for Mask Metrology

EUV Lithography Towards Industrialization

Overview of EUV Lithography and EUV Optics Contamination

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Progress on ASML s EUV Alpha Demo Tool

EUV Lithography Status and Key Challenges for HVM Implementation

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

EUVL Readiness for High Volume Manufacturing

Chromeless Phase Lithography (CPL)

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Interactions of 3D mask effects and NA in EUV lithography

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Status of multilayer coatings for EUV Lithography

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Lecture 14 Advanced Photolithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

EUV lithography industrialization for HVM

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Enhanced Performance of Multilayer Optics for Water Window Microscopy

EUREKA: A new Industry EUV Research Center at LBNL

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

Soft X - Ray Optics: Fundamentals and Applications

EUV-Technology with Discharge EUV-Lamp"

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

ASML Approach to Euv Reticle Handling

High Optical Density Photomasks For Large Exposure Applications

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

Overview European EUVL programme

EUV Reflectivity measurements on Acktar Sample Magic Black

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Critical Dimension Uniformity using Reticle Inspection Tool

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication

Mask Characterization for Double Patterning Lithography

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers

EUV and Soft X-Ray Optics

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Ecole Franco-Roumaine : Magnétisme des systèmes nanoscopiques et structures hybrides - Brasov, Modern Analytical Microscopic Tools

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Bringing mask repair to the next level

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives

Improved Diffraction Computation with a Hybrid C-RCWA-Method

Single Pass Die to Database Tritone Reticle Inspection Capability

RS-C Flexible Reticle Stocker

Aerial image based lens metrology for wafer steppers

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Photolithography II ( Part 1 )

IEUVI Mask Technical Working Group

High Brightness EUV Light Source for Actinic Inspection & Microscopy

Evaluation of Mo/Si multilayer for EUVL mask blank

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Evaluation at the intermediate focus for EUV Light Source

Measurement of EUV scattering from Mo/Si multilayer mirrors

High Brightness EUV Light Source System Development for Actinic Mask Metrology

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Cost of Ownership Considerations for Maskless Lithography

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

EUV-collector mirrors for high-power LPP sources

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Micro- and Nano-Technology... for Optics

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

ZEISS Riflescopes Reticles and Subtensions, November 2015

The Zeeman Effect refers to the splitting of spectral

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Heating Beam Pattern Optical Design CO2 Laser Thermal Compensation Bench

A short pulsed laser cleaning system for EUVL tool

Visual Test Light Scattering Reticle. Users Guide

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

MELOS 530 MEASURING EQUIPMENT FOR LENSES AND OPTICAL SYSTEMS. Combinations and Accessories. Indispensable for Quality Control of Optics

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

High Brightness EUV Light Source for Metrology

Application Note. Graphene Characterization by Correlation of Scanning Electron, Atomic Force and Interference Contrast Microscopy

Optical characterization of highly inhomogeneous thin films

Transcription:

Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger Seitz, Roman Windpassinger, Axel Zibold Peter Kuerz*, Erik Sohmen* *Carl Zeiss SMT AG Litho Division : AIMS is a trademark of Carl Zeiss A Company of Carl Zeiss SMT AG: Enabling the Nano-Age World TM

AIMS TM = Aerial Image Measurement System What is is AIMS TM TM? 4An actinic actinicoptical technique to to emulate any any given given stepper or or scanner. What is is AIMS TM TM good for? for? 4 Actinic optical optical printability analysis of of reticle reticle defects, repairs repairs and and critical critical areas areas on on all all kinds kinds of of reticles without the the need need of of stepper prints. prints. AIMS TM TM Applications 4Mask development 4Defect review reviewand disposition 4Repair verification 4Mask quality quality analysis

Comparison Stepper vs. AIMS TM Stepper / Scanner AIMS TM EUV Large FOV Mask Small FOV σ * NA NA = 0,0625 σ * NA NA = 0,0625 LS Illumination System Wafer Imaging system M = 0,25 NA = 0,25 LS Illumination System Camera Imaging system M=500...1000 NA = 0,000125

AIMS TM EUV design study AIMS TM EUV lite Collector Reticle: face-down Illumination system First Magnification EUV 5x Optics from Carl Zeiss SMT EUV Source Photocathode at intermediate image: EUV electrons CCD Second Magnification: Photo Electron Emission Microscope (PEEM) Magnification: 200x Resolution < 150nm

AIMS TM EUV lite: Key specifications Optics EUV Optics: 5x modified MET 2nd magnification: PEEM 200x variable Total magnification: 50x 1000x NA, fixed: 0.0625 Sigma: σ outer = 0.2-0.65, σ inner = 0.2 Source: Plasma Discharge Reticle stage Face down Option: Interferometer stage Carl Zeiss AIMS measurement and analysis software Recipe operation, up-date of inspection files Connectivity: link to all major inspection tools

AIMS EUV design study: AIMS TM performance Differences to current EUV Scanner Design Chief ray angle (CRA) Bandwidth AIMS-EUV lite 7.5 due to modified MET 3.5% due to 2 mirror optics Scanner α-tool 6 2% Impact Impact on on AIMS AIMS TM TM performance? 4Detection sensitivity of of nano-particles in in mask mask blanks? 4CD 4CD variation due due to to different CRA? CRA? SimulateAIMS TM TM EUV EUV performance for for different CRA CRA and and bandwidths

Simulation* results CD = CD AIMS EUV (7.5, 3.5%) - CD Stepper (6, 2%) CD (%) 0 8 6 = 0 nm = 140 nm = 250 nm = 400 nm absorber (Cr) capping layer 4 2 40 MoSi double layers 0 0.1 0.15 0.2 0.25 0.3 0.35 0.4 Threshold Substrate (Si) CD < 3% at threshold > 0.2 Simulation Model Defect: h= 20nm, FWHM = 21nm Carl Carl Zeiss Zeiss AIMS AIMS TM TM EUV EUV lite litewith CRA CRA = 7.5 7.5 and and 3.5% 3.5% bandwidth 4No No impact impact on on sensitivity sensitivity for for particle particle detection detection in in EUV EUV multilayers multilayersand and blanks blanks 4Systematic CD CD difference difference of of 3 % compensation compensation by by calibration calibration * Simulations made by P. Evanschitzky / A. Erdmann at Fraunhofer IISB Erlangen / Germany

Zeiss AIMSEUV lite lite 4All 4All key key components are are state-of-the art, art, available and and tested tested 4Short lead lead time time and and price price attractive tool tool 4Blank and and patterned EUV EUV mask mask development and and qualification 4Fits requirements for for 45 45 and and 32 32 nm nm node node