Thin Wafer Handling Debonding Mechanisms

Similar documents
Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

1

Thin Wafer Handling Challenges and Emerging Solutions

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

A Temporary Bonding and Debonding Technology for TSV Fabrication

Passionately Innovating With Customers To Create A Connected World

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

XBC300 Gen2. Fully-automated debonder and Cleaner

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer

Hybrid Wafer Level Bonding for 3D IC

EV Group. Engineered Substrates for future compound semiconductor devices

SHRINK. STACK. INTEGRATE.

OPTIMIZATION OF DIELECTRICS SURFACE PREPARATION FOR VACUUM COATING

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Advances in Back-side Via Etching of SiC for GaN Device Applications

2017 IEEE 67th Electronic Components and Technology Conference

TCAD Modeling of Stress Impact on Performance and Reliability

MICROCHIP MANUFACTURING by S. Wolf

1 INTRODUCTION 2 SAMPLE PREPARATIONS

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition

EE143 LAB. Professor N Cheung, U.C. Berkeley

Alternative deposition solution for cost reduction of TSV integration

Superconducting Ti/TiN thin films for mm wave absorption

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Film Deposition Part 1

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Carrier Transport by Diffusion

FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES

Superconducting Through-Silicon Vias for Quantum Integrated Circuits

CVD-3 LFSIN SiN x Process

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

A Cost and Yield Analysis of Wafer-to-wafer Bonding. Amy Palesko SavanSys Solutions LLC

A Temporary Bonding and Debonding Technology for TSV Fabrication. Masahiro Yamamoto TEL 3DI Dept. ATS BU

Micro/nano and precision manufacturing technologies and applications

IC Fabrication Technology

CVD-3 SIO-HU SiO 2 Process

TEST REPORT (Self-Tested Data)

TEST REPORT (Self-Tested Data)

CVD: General considerations.

Chapter 10 3D Integration Based upon Dielectric Adhesive Bonding

percolating nanotube networks

Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs)

Plasma Deposition (Overview) Lecture 1

Research and Development of Parylene Thin-Film Deposition and Application for Water-Proofing

CVD-3 MFSIN-HU-2 SiN x Mixed Frequency Process

Supporting Information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Electrografted insulator layer as copper diffusion barrier for TSV interposers

CVD-3 MFSIN-HU-1 SiN x Mixed Frequency Process

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS

Chapter 7 Plasma Basic

Fabrication Technology, Part I

Recent Advances and Challenges in Nanoparticle Monitoring for the Semiconductor Industry. December 12, 2013

Y. C. Lee. Micro-Scale Engineering I Microelectromechanical Systems (MEMS)

Supplementary Information

Lecture 0: Introduction

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS

BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc.

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1: Micromechanical cleavage of graphene on oxygen plasma treated Si/SiO2. Supplementary Figure 2: Comparison of hbn yield.

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

Wireless Sensor Networks. Picocube

Supporting Information. Direct Growth of Graphene Films on 3D Grating. Structural Quartz Substrates for High-performance. Pressure-Sensitive Sensor

Pattern Transfer- photolithography

Taurus-Topography. Topography Modeling for IC Technology

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

An Investigation on NEG Thick Film for Vacuum packaging of MEMS

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger

Microfabrication for MEMS: Part I

EE C245 ME C218 Introduction to MEMS Design Fall 2007

All-Chemical-Solution Coated Conductors at Deutsche Nanoschicht GmbH

custom reticle solutions

Nanocarbon Interconnects - From 1D to 3D

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Objective: Competitive Low-Cost Thin-Film Varactor Technology. Integrated Monolithic Capacitors using Sputtered/MOCVD material on low-cost substrates

EN2912C: Future Directions in Computing Lecture 08: Overview of Near-Term Emerging Computing Technologies

Technology Brief 9: Capacitive Sensors

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Immersed diffraction gratings for the Sentinel-5 earth observation mission. Ralf Kohlhaas

Supporting Information

F R A U N H O F E R I N

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Lithography and Etching

SUPPLEMENTARY FIGURES

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Transcription:

Thin Wafer Handling Debonding Mechanisms Jonathan Jeauneau, Applications Manager Alvin Lee, Technology Strategist Dongshun Bai, Scientist, 3-D IC R&D Materials

Outline Requirements of Thin Wafer Handling for 3-D IC Developments Hurdles & Achievements Path Forward Acknowledgement

General Process Flow Coating/Bonding Backgrinding Stress relief etching Photolithography Plasma etching/rie Resist stripping 70-µm-thick wafer, 1:1-aspect-ratio vias, copper redistribution layer Dielectric deposition Seed layer deposition Electroplating Debonding Silicon interposer, RDL - Fuji

Development of Thin Wafer Handling Technology Brewer Science Bonding Material Carrier Thermal Bonding Thinning & Backside Processing Debonding Thermal Stability 300 C Heat 200 C 100 C Note. Carrier-less solutions are excluded

30 Development of Debonding Technologies WPH Gen 3 Gen 2 Gen 1 Gen 3 Thermal Stability 300 C Release Zone Stiction Zone 20 Slide Heat 200 C 10 100 C 2000 2005 2010 2015 2020

Brewer Science Thin Wafer Handling Technologies Carrier Preparation Coating Process Debonding BrewerBOND carrier preparation ZoneBOND carrier preparation Glass BrewerBOND materials ZoneBOND materials WaferBOND materials Glass Edge refortification Chemical resistance CVD stability Effective cleaning Carrier recycle Gen 3 tech ZoneBOND debonding Slide off Chemical release Sapphire Silicon Device

Requirements Temporary Bonding Must Meet Step Process Consideration Examples 1 Coating/ Carrier prep. 2 Bonding 3 Backside processes 4 Debonding TTV, coating uniformity, step coverage TTV, void free, alignment, squeeze out Void free, warpage With frame, room temperature debonding 5 Cleaning Residue free, high yield

Challenges Edge bead/ Uniformity Carrier TSV Wafer Bonding & Thinning Carrier In-film defect TSV Wafer Carrier Thinning Carrier Process compatibility Carrier Backside Processes Carrier

Coating Profile Spin Coating High edge bead from traditional spin coating Backgrinding performance is directly impacted For example, edge beads ~80 µm in height are typical Reduced by process and equipment advancements to 7 µm 80 µm 7 µm 14 mm from edge

Thinning with WaferBOND HT-10.10 Bonding Material (IMEC)

Successful Thinning to 5 µm (ITRI Ad-STAC) 300-mm Blank Si 5-µm Si Glass Carrier

Process and Equipment Effect on Material Requirements Found stress pattern or wrinkling after PECVD Perceived as a material failure fixed by process and equipment changes Same bonding material, coating, and baking conditions PECVD at 160 C set point Wafer size: 300-mm Si

High Thermal Stability The newest formulation meets the high temperature requirements in backside process. - Decomposition temperature up to 363 C (2% weight loss measured by TGA in air) - Isothermal at 250 C for 30 minutes in air, no noticeable weight loss

High Thermal Stability Bonding at 200 C - No delamination after heat treatment at 260 C for 1 hour on a hot plate in air - No delamination after heat treatment at 300 C for 15 min under vacuum After bonding at 200 C After heat treatment at 260 C for 60 min After heat treatment at 300 C for 15 min

300-mm Wafer Bonding and Grinding Thickness: Devi 300-mm Si wafer 753.6 µm Bonding material thickness 106.1 µm Carrier 764.9 µm Post-bonding TTV: 7.4 µm CSAM: as left image, no void Thinning: After Grinding Avg. Thickness of Si (µm) Pair Thickness (µm) Pair TTV (µm) 51.009 936.357 2.571

Summary Thin wafer handling is a necessity for advanced 3-D IC manufacturing Post-thinning TTV less than 2 µm can be achieved through selected bonding material and process control Optimization of bonding material and bonding process can eliminate defects Use of a proprietary BrewerBOND material with stability higher than 300 C can result in coating non-uniformity less than 5% and high WPH

Acknowledgements A special thank you to: IMEC ITRI Ad-STAC SUSS MicroTec SEMI Europa The Brewer Science development team

Where innovation takes flight! sm info@brewerscience.com