High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Similar documents
Interactions of 3D mask effects and NA in EUV lithography

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Actinic review of EUV masks: First results from the AIMS EUV system integration

Overview of EUV Lithography and EUV Optics Contamination

EUV Lithography Towards Industrialization

EUV lithography industrialization for HVM

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12

Analysis of carbon contamination on EUV mask using CSM/ ICS

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

EUREKA: A new Industry EUV Research Center at LBNL

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing

Progress on ASML s EUV Alpha Demo Tool

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Purpose: Explain the top 10 phenomena and concepts key to

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Chromeless Phase Lithography (CPL)

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Measurement of EUV scattering from Mo/Si multilayer mirrors

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

Current development status of Shin-Etsu EUV pellicle

IEUVI Mask Technical Working Group

Horizontal-Vertical (H-V) Bias, part 2

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

EUV Lithography Status and Key Challenges for HVM Implementation

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

The study for image placement repeatability of EUV mask on the flat chuck

Status of EUV Sources for Mask Metrology

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Photolithography II ( Part 1 )

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Soft X - Ray Optics: Fundamentals and Applications

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Status of multilayer coatings for EUV Lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

SHRINK. STACK. INTEGRATE.

Optical Proximity Correction

CBSE PHYSICS QUESTION PAPER (2005)

Sensors and Metrology. Outline

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Mask Characterization for Double Patterning Lithography

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

Resist material for negative tone development process

Padraig Dunne, UCD School of Physics Dublin, Ireland.

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Resist-outgas testing and EUV optics contamination at NIST

More on Stochastics and the Phenomenon of Line-Edge Roughness

Micro- and Nano-Technology... for Optics

EUVL Optics lifetime and contamination. European Update

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Lecture 8. Photoresists and Non-optical Lithography

Recent progress in nanoparticle photoresist development for EUV lithography

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Cost of Ownership Considerations for Maskless Lithography

High Brightness EUV Light Source System Development for Actinic Mask Metrology

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

1. Waves and Particles 2. Interference of Waves 3. Wave Nature of Light

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

The Diffraction Grating

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

PRISMATIC COVERS FOR BOOSTING THE EFFICIENCY OF HIGH-CONCENTRATION PV SYSTEMS

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Multilayer Optics, Past and Future. Eberhard Spiller

We published the text from the next page.

MICRO AND NANOPROCESSING TECHNOLOGIES

Chapter 35. Interference

ASML Approach to Euv Reticle Handling

Nanoimprint Lithography

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Litho scenario solutions for FinFET SRAM 22nm node

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

Customized EUV optics made by optix fab

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

Practice Paper-3. Q. 2. An electron beam projected along + X-axis, in a magnetic field along the + Z-axis. What is

Lecture 4: Diffraction & Spectroscopy

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Silicon VLSI Technology. Fundamentals, Practice and Modeling

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Moonbows. Friday somebody asked if rainbows can be seen at night.

M oore s law indicates that the number of transistors in integrated circuits should double about every two

Transcription:

High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single exposure / nm 12.3 10.1 8.1 6.8 What happens when increasing the NA of an EUV tool? What are the optical solutions?

Structure of presentation 1 Status EUV NXE:3300 optical system 2 Characteristics of High NA EUV optics projection optics and reticle 3 High NA EUV optics the solution space

EUV Roadmap has great extendibility. High NA optics enables single shot patterning of 10nm hp and beyond

The EUV NA0.33 optics for the HVM scanner NXE:3300 are in series production. NXE:3300 illuminator NXE:3300 projection optics NA = 0.33

Excellent and consistent wavefront performance of 3300 optical systems each bar is one system

enables single exposure imaging down to 13nm hp of the ASML NXE:3300 scanner.

Structure of presentation 1 The EUV NXE:3300 scanners are in series production. Higher NA optics extend the roadmap beyond 10nm. 2 Characteristics of High NA EUV optics projection optics and reticle 3 High NA EUV optics the solution space

EUV optical system grating reticle collector Intermediate focus source illuminator plasma Projection optics -2 nd -1 st 0 th 1 st diffraction orders +2 nd Projection optics catches and combines these diffraction orders on to the wafer wafer

EUV optical system the characteristics of a high NA projection optics. reticle Intermediate focus illuminator Projection optics collector source plasma wafer

Higher NA increases the light cone above the wafer, and Medium NA M6 High-NA M6 NA NA M5 M5

increases the angles on M5, and Medium NA M6 High-NA M6 M5 M5

increases the angular spread on M5. Medium NA M6 High-NA M6 M5 M5

Multi-layer coatings set limits for angles & angular spread on EUV mirrors. γ θ Substrate > 50 Bi Layers Si Mo d Standard EUV coatings are not able to reflect the combination of large angles and large angular spreads on M5 needed for High-NA. Angles must be reduced for high NA EUV optics.

There is a solution: We drill a hole into the mirror. M6 M6 Angles and angular spread on M5 decrease M5 M5

Central obscuration leads to an application dependent contrast loss this part lacks its corresponding 1 st order 0 th diffraction order unobscured pupil obscured pupil red colored parts of 0 th order can interfere with corresponding 1 st order ±1 st diffraction orders contrast loss obscuration blocks parts of 1 st diffraction orders

...which can be tolerated if kept below ~20% radius. Generic Lines & Spaces through pitch simulation unobscured pupil obscured pupil

EUV optical system reticle impact on high NA EUV imaging. reticle Intermediate focus illuminator Projection optics collector source plasma wafer

Reflective mask requires non-telecentric illumination, reticle Geometrical rule: NA @reticle = NA @wafer / reduction 3300: NA=0.33 Reduction = 4x reticle CRAO = Chief Ray Angle @ Object (6 for 3300)

so that increasing the NA leads to intersecting light cones. Increase NA

There are two potential solutions: Increase CRAO NA 0.45 4X reduction CRAO 9 high NA @reticle: 0.45/4 = 0.1125

There are two potential solutions: Increase CRAO or increase reduction NA 0.45 4X reduction CRAO 9 NA 0.45 6X reduction CRAO 7 high NA @reticle: 0.45/4 = 0.1125 small NA @reticle: 0.45/6 = 0.075

Increasing the CRAO is limited Absorber shadowing is angular dependent! Strong shadowing Weak shadowing reticle Absorber thickness 55nm.

Angular dependent absorber shadowing at high CRAO reticle NA 0.33 CRAO 6 15nm L&S Standard ML NA 0.45 CRAO 9 11nm L&S Standard ML

leads to telecentricity errors, efficiency losses, and reticle NA 0.33 CRAO 6 15nm L&S Standard ML NA 0.45 CRAO 9 11nm L&S Standard ML ML only 0 th order imbalance: telecentricity error

and loss of image contrast. reticle NA 0.33 CRAO 6 15nm L&S Standard ML NA 0.45 CRAO 9 11nm L&S Standard ML CRAO 9 ML only 0 th order imbalance: telecentricity error 0 th /1 st order imbalance: contrast loss ML & absorber

A 6x optics recovers imaging and efficiency by reducing CRAO to ~7 Simulation of 14nm dense contacts @NA 0.45 *) *) application dependent!

High NA imaging must avoid high NA at reticle / large CRAO by increased reduction. NA 0.45 4X reduction CRAO 9 NA 0.45 6X reduction CRAO 7 high NA @reticle: 0.45/4 = 0.1125 small NA @reticle: 0.45/6 = 0.075

Structure of presentation 1 The EUV NXE:3300 scanners are in series production. Higher NA optics extend the roadmap beyond 10nm. 2 A high NA EUV projection optics will have a slightly obscured pupil and an reduction ratio larger than 4x. 3 High NA EUV optics the solution space

Increased reduction ratio eases mask requirements, BUT NA 0.45 4X reduction CRAO 9 NA 0.5 8X reduction CRAO 6.5 dim@reticle = red dim@wafer 2X less sensitive to CD control, linearity, placement. focus@reticle = red² focus@wafer 4X less sensitive to blank topography.

gives smaller imaging fields @wafer or requires a larger mask for full field. High NA EUV imaging 104 x 132 mm² 104 x 132 mm² 156 x 198 mm² 6 reticle 4x 6 reticle 8x 9 reticle 6x 26 x 33 mm² FF Full Field @wafer 13x16.5 13x16.5 QF QF QF QF 13x16.5 13x16.5 Quarter Field @wafer 26 x 33 mm² FF Full Field @wafer

There is a wide solution space for High NA EUVL. Mask size 9 FF 6x HF 8x 6 mirrors 8 mirrors 7 HF 6x 6 FF 4x HF 5x QF 8x QF 8x 0.45 0.50 0.55 0.60 NA

The triangle of High NA. Resolution 6x FF 9 8x QF Full Field 4x FF 6 Mask Angular limitations result in a triangle: Only 2 out of 3 requirements can be met resist triangle (resolution, LER, photo speed)

Structure of presentation 1 The EUV NXE:3300 scanners are in series production. Higher NA optics extend the roadmap beyond 10nm. 2 A high NA EUV projection optics will have a slightly obscured pupil and an reduction ratio larger than 4x. 3 High NA EUV optics show a large solution space, but trade off between resolution, field & mask size.

In conclusion High NA EUV lithography is natural extension of the semiconductor roadmap beyond 10nm hp. Technical solutions for such high NA EUV optical systems are available. The choice is up to the semiconductor community.