The Role of Wet Cleans in Semiconductor Process Development & High Volume Manufacturing Costs

Similar documents
Effect of Incoming Quality of Sulfuric Acid on Inline Defects

TECHCET s Review of Sematech s Surface Preparation & Cleaning Conference Saratoga Springs, NY, May 12-14, 2015 Part 1 of 2

RCA cleaning: SC1, SC2, DHF, BOE, SPM

Introduction CR-288 APPLICATION NOTE FOR BEOL SEMICONDUCTOR PROCESSING. Authors: Christopher Wacinski and Wiley Wilkinson

ICP-MS Analysis of Bulk & Process Chemicals for Semiconductor Processes. Ann O Connell Chemical Analysis Eng Intel Corporation

Advanced and Emerging Devices: SEMATECH s Perspective

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Slide 1 Raymond Jin, Adcon Lab, Inc.

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Lecture 0: Introduction

Passionately Innovating With Customers To Create A Connected World

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

Simple and accurate modeling of the 3D structural variations in FinFETs

Direct Measurement of Metallic Impurities in 20% Ammonium Hydroxide by 7700s/7900 ICP-MS

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Developments in CMP and Impact on CMP Consumables

Cost of Ownership Considerations for Maskless Lithography

Transmission Electron Microscopy for metrology and characterization of semiconductor devices

Alternative deposition solution for cost reduction of TSV integration

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D.

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Thermo Scientific ICP-MS solutions for the semiconductor industry. Maximize wafer yields with ultralow elemental detection in chemicals and materials

Chapter 3 Basics Semiconductor Devices and Processing

Yield. Economics. Victor Ovchinnikov. Chapters 36, 37

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Model 2300XP PSL & Process-Particle Wafer Deposition System

Automotive Grade Silicon Capacitors for Under the Hood Applications

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost!

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Aerospace Performances of IPDiA -250 C up to 250 C Grade Silicon Capacitors

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

SELECTIVE ETCH REQUIREMENTS FOR THE NEXT GENERATION OF SEMICONDUCTOR DEVICES

EE410 vs. Advanced CMOS Structures

Future trends in radiation hard electronics

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer

Semiconductor Integrated Process Design (MS 635)

MeteoGroup RoadMaster. The world s leading winter road weather solution

Application. Determination of Trace Metal Impurities in Semiconductor-Grade Hydrofluoric Acid. Authors. Introduction. Abstract.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Determination of Impurities in Silica Wafers with the NexION 300S/350S ICP-MS

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Administrative Stuff

Microelectronics Part 1: Main CMOS circuits design rules

Medium Pressure Sensor Analog Output

Cost Implications of EUV Lithography Technology Decisions

Microscopy AND Microanalysis MICROSCOPY SOCIETY OF AMERICA 2006

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Multiple Gate CMOS and Beyond

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

Thin Wafer Handling Challenges and Emerging Solutions

Fabrication Technology, Part I

TCAD Modeling of Stress Impact on Performance and Reliability

HSG-IMIT Application AG

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Maria-Alexandra PAUN, PhD

Recent Advances and Challenges in Nanoparticle Monitoring for the Semiconductor Industry. December 12, 2013

Contamination Monitoring of Semiconductor Processes by VPD HR-ICPMS

Low Pressure Sensor Amplified Analog Output SM6295-BCM-S

EV Group. Engineered Substrates for future compound semiconductor devices

Determination of trace elements in ultrapure semiconductor grade sulfuric acid using the Agilent 8900 ICP-QQQ in MS/MS mode

ECE 497 JS Lecture - 12 Device Technologies

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Device Fabrication: Etch

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY

CVD: General considerations.

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy

Small Gage Pressure Sensor

FEATURE ARTICLE. The CS-100 Series High-precision Chemical Solution Monitor in the Semiconductor Cleaning Process. Takaaki Yada.

xr SiC Series 1200 V Schottky Diode Platform 15A, 10A, 5A / 30A, 20A

Extending the Era of Moore s Law

Chapter 8 Ion Implantation

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

MOSFET: Introduction

CVD-3 MFSIN-HU-2 SiN x Mixed Frequency Process

DIFFUSION - Chapter 7

High-Speed Environmental Analysis Using the Agilent 7500cx with Integrated Sample Introduction System Discrete Sampling (ISIS DS)

SMP625 Product Specifications

Electrical Characterization with SPM Application Modules

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

Wet and Dry Etching. Theory

MaxCaps Next Generation Dielectrics for Integrated Capacitors

CVD-3 MFSIN-HU-1 SiN x Mixed Frequency Process

CVD-3 SIO-HU SiO 2 Process

Wet Clean Challenges for Various Applications

Thermal Resistance Measurement

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Introduction to Photolithography

Moore s Law Technology Scaling and CMOS

Small, Gauge Pressure Sensor

Chapter 2. Design and Fabrication of VLSI Devices

Competitive Semiconductor Manufacturing

OEM Silicon Pressure Die

Lecture 12: MOS Capacitors, transistors. Context

FOR SEMICONDUCTORS 2009 EDITION METROLOGY

Transcription:

The Role of Wet Cleans in Semiconductor Process Development & High Volume Manufacturing Costs Akshey Sehgal Fab 8 Advanced Technical Development Malta, NY

Doing it Right the First Time: 1 Advanced Technology Development and High Volume Manufacturing 2 It takes a Village 3 Making it Happen

1 Advanced Technology Development and High Volume Manufacturing 2 It takes a Village 3 Making it Happen

Effects of Wet Cleans on: Advanced Node Technology Development & High Volume Manufacturing Costs Process Materials Equipment People /Measurement Method Facilities 4

Process

PROCESS Defects, Contamination all affect Yield Yield controls profit/ loss Fishbone construction Partial list of all possibilities with quantified examples Examples can be taken from every module of semiconductor manufacturing Cost improvements come from ñ yield ñ efficiency 6

PROCESS Upstream Processing RMG Defects from Junctions: As Implant, SPCR Dep Now Important Cleans BS and Bevel Impossible Challenge BEOL TiN Metal Hardmask Removal Repeat Processing FEOL SPM Cleans Using process to overcome tool limitations Extra Pattern Defect Removal 7

Impossible Challenge BEOL Wet Cleans: Metal Hardmask Removal Contact and TiN liner exposed TiN Low k stack Nitride W or Co TiN liner W or Co TiN Wet Removal W or Co TiN liner W or Co Wet chemistry compatibility to dielectric stack critical Post Via Etch Post Via Clean TiN HM Removal Wet clean has to remove TiN hardmask but not attack the TiN in the contact glue layer Both are simultaneously exposed to the same chemistry TiN liner surface is vulnerable as surface tension and gravity will pull chemistry into recesses 8

Impossible Challenge BEOL Wet Cleans: TiN Metal Hardmask Removal Hammer Test Expose wafer to TiN MHM chemistry when there is no TiN MHM on wafer surface Only TiN exposed to chemistry is the TiN liner in the contact liner Contact CMP (MOL) â TiN MHM Removal (BEOL) â TEM TiN MHM Removal Chemical à Co Contact TiN Liner Purpose Determine if TiN MHM removal chemistry attacks TiN liner in Contact 9

Impossible Challenge BEOL Wet Cleans: TiN Metal Hardmask Removal RESULTS Chemistry does not attack TiN liner in isolated contacts and in seas of contact TiN MHM Removal Chemical à Co Contact TiN Liner Repeated test show the same result (verified with elemental TEM on repeated wafers) Yield ñ compared to POR formulation that did attack TiN liner in the same test 10

Materials

MATERIALS From Greg Bartlett, SMC 2013 cost value development materials equipment consumables performance dimensions connectivity TTM/ Yield R&D must deliver technologies which meet customer performance expectations Innovations are implemented when value of the improvement > its cost 12

MATERIALS From Greg Bartlett, SMC 2013 Lots of new materials in semiconductor grade purity and, Shrinking dimensions = killer defect size ò = higher purity requirements F For advanced technology development, materials cost are ñ 13

MATERIALS Reduce, Recycle, Reuse Sulf in SPM Cheaper Substitutes Aerosol Cleans 3 Rs and cheaper substitutes are the only way to ò materials cost Advanced technology development and, High volume manufacturing 14

3Rs: Reduce, Recycle & Reuse From Sushil Patil et al. ASMC 2017 Sulfuric Acid Cycle in Wet Cleans REDUCE SPM dispense time SPM line flushing (new lot and idle time) Replace with DIO 3 wherever possible RECLAIM & REUSE SPM reclaim Optimize SPM drain time (ò DIW rinses going into SPM collection tank) Drain delay times at the tool were optimized to reduce water and peroxide going into acid drain, thereby increasing acid concentration F Total SPM reduction from 3Rs = 58% 15

1 Advanced Technology Development and High Volume Manufacturing 2 It takes a Village 3 Making it Happen

From Pawitter Mangat, Semicon West 2017 GLOBALFOUNDRIES Technologies Broad and differentiated product offerings 7nm FinFET 12FDX 180 40nm Mixed-technology Solutions 28nm Perf/Power/Cost Optimized 22FDX Dynamic Body-bias Mainstream 180nm to 40nm 200mm, 300mm wafers Mixed-technology solutions based on proven processes Analog/Mixed-Signal, RF/mmWave, high-voltage (power management) RF CMOS, embedded memory, display drivers, MEMS 14nm FinFET High Performance Leading Edge FDX Technology Industry s first FD-SOI roadmap Ideal for IoT, mainstream mobile, RF, and power-efficient SoCs FinFET Technology Industry roadmap for performance and density Ideal for High-end Mobile, Servers, Graphics and Networking 28nm HKMG/Poly-Si Industry leader, over 1 million wafers shipped Driving rapid migration to RF and embedded memory on leading-edge platforms 17

HVM LEARNING: Defect Tracebility at Wafer Level is too late Need to define path towards negative mean time to detect (nmttd) Increased number of elements with potential for variations and defectivity contributions Wafer Level Die Yield, # of Excursion System Level Equipment, Materials & Processes Sub-System Level Components, Parts & Raw materials Sub-Component Level Part Materials, Fundamental Chemistries, Formulations Increased Impact of undetected variations or controls Line of defense & Industry Standards at each level 18

Contribution of Sub-component Abnormality to Wafer Defects Significant loss of productivity and efficiency ü CHEMIICAL SUPPLIER ü ISO-TANKER DELIVERY ü BULK TANK ü FACTORY DISTRIBUTION ü WET CHEMICAL X DEFECT DETECTION @WAFER ü ISO-TANK HEALTH & SUPPLIER ROOT CAUSE LINKED SUB COMPONENT SUPPLIER (SEAL & MATERIALS issue) 30-35 nm Particles 19

Wafer Failures While All Specs Are Met: Impact from Raw Material Variations Metal contamination and intermittent failures originating from variations in sub-supplier raw materials (Root cause analysis) 10x ò in Ca in raw material = 5x ò in Ca on wafer surface For sub 1x nm nodes Need visibility into sub-supplier chain and quality control Metal contamination in raw materials in ppt level (measure, report and control) Formulation Produced Time Period 1 Component A Component B Component C Ba < 1 ppt 18 ppt < 16 ppt Ca < 4 ppt 3750 ppt < 32 ppt Mg < 1 ppt 80 ppt < 16 ppt Pb < 1 ppt < 25 ppt < 16 ppt Zn < 1 ppt 850 ppt 24 ppt Formulation Produced Time Period 2 Component A Component B Component C Ba < 1 ppt < 5 ppt < 16 ppt Ca < 4 ppt 350 ppt < 32 ppt Mg < 1 ppt < 25 ppt < 16 ppt Pb < 1 ppt < 25 ppt < 16 ppt Zn < 1 ppt < 50 ppt 24 ppt 20

It takes a Village Global effort to drive zero excursion zero defect mission Materials Raw- Materials Chemistry Formulations Customer ZERO EXCURSION ZERO DEFECT Equipment & Process Components Second Source parts Subcomponents Parts Part repair Part cleans Minimize Process VARIATIONS TRACEABILITY-on-the-GO Standardization 21

1 Advanced Technology Development and High Volume Manufacturing 2 It takes a Village 3 Making it Happen

Journey to Excellence All GLOBALFOUNDRIES Inc. customers need us to excel in Manufacturing Capacity & Capability, Technology Leadership and, Customer Service How do you deliver customer service in wet cleans and improve cost (ò CoO, ñ asset utilization etc.)? 23

P Buried Layer Defects From Akshey Sehgal et al. UCPSS 2010 Problem in Complimentary BiCMOS technology - Defects in P Buried Layer (PBL) seen after optical inspection (0.1 µm deep, few µm across) - Electrical testing co-related these defects to PNP array current leakage 24

P Buried Layer Defects ROOT CAUSE Mo is unintentionally co-implanted with the ( 11 B 19 F 2 ) + implant which has the same mass to charge ratio of 49 as the doubly ionized 98 Mo 2+ PBL defectivy directly proportional to BF 2 implant dose HVM FIX Change to a Tungsten/ W source for the BF 2 implant W does not have a species with a mass to charge ratio of 49 and is therefore, not co-implanted into the wafer 25

Customer Service Fix Problem Wet Cleans Created Need to produce wafers while PBL implant target change is qualified Found that for PBL Oxide Strip, BOE shows PBL defects and 100:1 dhf does NOT show PBL defects PBL Ox Etchant Time in F Bath PNP Transistor Array Leakage Conclusion 5:1 BOE Couple of min Yes Mo left on wafer surface after PBL Ox removal 100:1 HF Couple of hours No No Mo left on wafer surface after PBL Ox removal Since Mo is already present in both wafers coming into PBL Ox removal, how is F modulating PBL defectivity? Impractical HVM solution to process lots for couple of hours in 100:1 dhf bath 26

Customer Service HVM Fix for Wet Cleans Created Problem PBL Implant performed with W source To fix far too long PBL oxide removal wet clean q HVM step done with removing most of PBL oxide in BOE bath and then transferred to 100:1 HF bath 1 st Step: 5:1 BOE removes 90% of PBL oxide PBL Oxide Mo defects Crystalline Si 2 nd Step: Transfer to 100:1 HF bath to remove remainder 10% of PBL oxide Mo defects only exposed to 100:1 HF Using this 2 step wet cleans in HVM, process time is 1/3 rd of time needed in 100:1 HF bath only 27

Customer Service Fix Problem Wet Cleans Created Review of the Geology literature revealed that silicon and molybdenum form a water soluble silicomolybdate complex Fluoride ions interfere with the formation of the silicomolybdate complex PBL Ox Etchant F Content Silicomolybdate Complex 5:1 BOE 24.544 wt% Does NOT form 100:1 HF 0.568 wt% Forms Mo Left on Wafer Surface Yes Causes PNP array leakage No Does NOT cause PNP array leakage 28

Modeling the PBL Oxide Strip: Si-Mo-H 2 O Si-Mo-F-H 2 O Systems No silicomolybdate complexes Silicomolybdate complexes PBL Ox Etchant F Content Silicomolybdate Complex 100:1 HF 0.568 wt% Forms No 5:1 BOE 24.544 wt% Does NOT form Yes Mo Left on Wafer Surface 29

Journey to Excellence: Customer Service Solving problems created by wet cleans - You are not done until ALL stakeholders say you are done and, - OWN the problem and delivering an effective HVM solution Customer service in wet cleans also involves: - Solving other people s problem(s) (next slide) 30

From Akshey Sehgal et al. SPCC 2015 2x nm Fab Transfer to HVM Fab (Fab 8): BACKGROUND: 2x nm transferred technology to GLOBALFOUNDRIES Fab 8 in Malta used a cleaning chemical not used in Fab 8 Chemistry is: Only used for 1 cleaning step in MOL among multiple technology nodes being scaled up in Malta Completing CE transfer and then eliminating the chemical from the 2x nm route = 8 months and a $ few million Problem Statement: Is it possible to eliminate one of a kind (OOAK) cleaning chemistry? Possible to replace it with a new chemistry that Meets process objectives? EHS friendly? with low CoO? 31

Customer Service Fix Problem Wet Cleans did NOT Create Run splits at the OOAK cleaning step (pathfinding fab) Characterize etch residues produced Fundamental analysis of cleaning ability of OOAK clean and new clean Run split with new clean (vs. OOAK clean) If good, repeat If still good after repeat, perform EHS impact and cost analysis If good, permanent change of 2x nm Route 32

OOAK Clean (What): Contact Wet Clean in MOL Picture shows CB, missing W and residue blocking fill HVM Requirements: - Complete removal of contact etch residue - Exposed Al, RMG metals, W, OX and NIT unattacked 33

OOAK Clean (What): Characterizing the Etch Residue it Needs to Remove F N Ti O N, O, Ti, F were mapped in defect 5 A nominal EELS probe was used O & F residue seen above TiN liner F OOAK clean is not removing F containing SWP (sidewall polymer) 34

OOAK Clean (Why): Etch Residue Not Removed? Measured Eh ph Location of OOAK Cleaning Chemistry Pourbaix Diagram for Ti, not TiN q In OOAK chemistry solution both Al and W dissolve into soluble hydroxides = RMG attack q No Pourbaix diagram for TiN found in literature so need to construct from first principles q TiF x residues are NOT removed by OOAK chemistry q Pourbaix diagram shown above are for M-H 2 O system. We need Pourbaix diagrams for M- F-H 2 O system 35

OOAK Clean (What): New Clean Development & Results Multiple element Pourbaix diagram was constructed It identified a process space that met all criteria þ New cleaning chemistry formulated from chemicals already available in Fab 8 þ EHS friendly & low CoO criteria were met (formulated onsite) þ New chemistry, skipping OOAK Clean, removed all residue without attacking any exposed materials Benefits: Lower R ON (x à 0.7x Ω µm) NFET I eff @ Isoff improved ~ 7% PFET I eff @ Isoff improved ~ 3% Ring Oscillator yield increased with addition of new chemical F Process flow permanently changed to include new clean 36

OOAK Clean (What): Cost Impact CapEx Costs (Dedicated wet clean tool and cabinets) Chemical Costs (CDU, BCDS, Consumption) Facilities Costs (Drains, Waste treatment etc.) Throughput Improvement from New Chemistry Total Cost = Capital Spending + Chemical Spending + Facilities Cost + Item Without OOAK Comment Total Savings to date ~ US $ 28 Million New wet clean replaced 2 back to back wet cleans FNot doing Copy Exact gave superior process and saved $28 Million 37

1 2 3 Advanced Technology Development and High Volume Manufacturing It takes a Village Making it Happen Common Theme in All Sections: þ OWN the problem and the solution þ Well defined problem statement þ Use 1 st principles to solve problems þ Attention to details þ Great team (internal and externally)

Journey to Excellence WHAT: All sectors are improved/ enabled by semiconductors delivering growth and productivity Wet Cleaning and surface preparation are critical for IC manufacturing WHY Wet Cleans: Enable fast decision making and action taking by doing it right the first time To increase your odds of being right: Work cooperatively globally, Learn from experts on a daily basis and, Use personal knowledge to serve (internal & external) customers needs 39

Acknowledgements Grateful thanks to GLOBALFOUNDRIES colleagues and former company colleagues in Wet Cleans, Metrology and Defect Inspection Integration, Device and, TCAD

Questions? Trademark Attribution GLOBALFOUNDRIES, the GLOBALFOUNDRIES logo and combinations thereof, and GLOBALFOUNDRIES other trademarks and service marks are owned by GLOBALFOUNDRIES Inc. in the United States and/or other jurisdictions. All other brand names, product names, or trademarks belong to their respective owners and are used herein solely to identify the products and/or services offered by those trademark owners. 2013 GLOBALFOUNDRIES Inc. All rights reserved.